綜閤電子設計與實踐(第2版) pdf epub mobi txt 電子書 下載 2024

圖書介紹


綜閤電子設計與實踐(第2版)


田良,王堯,黃正瑾 等 著



點擊這裡下載
    


想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

发表于2024-05-16

類似圖書 點擊查看全場最低價

齣版社: 東南大學齣版社
ISBN:9787564120764
版次:2
商品編碼:10470063
包裝:平裝
叢書名: 新世紀電工電子實踐課程叢書
開本:16開
齣版時間:2010-07-01
頁數:314
正文語種:中文

綜閤電子設計與實踐(第2版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



綜閤電子設計與實踐(第2版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

綜閤電子設計與實踐(第2版) pdf epub mobi txt 電子書 下載 2024



具體描述

編輯推薦

《綜閤電子設計與實踐(第2版)》取材先進、內容新穎、理論聯係實際,既論及與電子係統高層設計理念相關的問題,又重視底層實現中常見實際問題的處置原則及方法。此次該書經過修編後,內容更加符閤當前技術發展趨勢以及教學改革的需求。

內容簡介

《綜閤電子設計與實踐(第2版)》對2002年版做瞭重要修訂。全書共分9章:第1章為電子係統設計導論;第2章為常用傳感器及其應用電路;第3章為模擬係統及其基本單元;第4章為模擬設計中的EDA技術;第5章為數字係統設計;第6章為嵌入式處理器與嵌入式係統及其應用;第7章為電子係統的芯片實現方法;第8章為電子係統設計與製造的有關工程問題;第9章為電子係統設計舉例。以上內容係圍繞電子係統的設計與實現方法來安排的,目的是培養學生的係統設計能力,以適應電子信息時代對學生知識結構和能力的要求。

目錄

1 電子係統設計導論
1.1 電子係統概述
1.2 電子係統的設計
1.2.1 電子係統設計的一般方法
1.2.2 電子係統設計的一般步驟
1.2.3 設計文檔的作用
1.2.4 傳統手工設計步驟
1.2.5 電子係統設計的EDA方法
1.2.6 電子係統設計的三要素——人纔、工具、庫
1.3 各種電子係統設計步驟綜述
1.3.1 數字係統設計步驟
1.3.2 模擬係統設計步驟
1.3.3 以微機(單片機)為核心的電子係統的設計步驟
1.4 電子係統設計選題舉例
1.4.1 簡易數控直流電源(1994年全國大學生電子設計競賽題之一)
1.4.2 頻率特性測試儀(1999年全國大學生電子設計競賽題之一)
習題與思考題1
參考文獻

2 常用傳感器及其應用電路
2.1 概述
2.2 常用傳感器及其應用要求
2.2.1 常用傳感器分類
2.2.2 傳感器的應用要求
2.3 溫度傳感器
2.3.1 溫度傳感器的分類
2.3.2 集成溫度傳感器
2.3.3 應用舉例——紅外熱輻射溫度儀
2.4 光電傳感器
2.4.1 發光二極管的特性
2.4.2 光敏二極管和光敏三極管
2.4.3 應用舉例
2.5 霍爾傳感器
2.5.1 綫性霍爾傳感器
2.5.2 開關型霍爾傳感器
習題與思考題2
參考文獻

3 模擬係統及其基本單元
3.1 模擬係統及其特點
3.2 模擬信號産生單元
3.2.1 單片精密函數發生器ICL8038
3.2.2 高精度50Hz時基電路
3.2.3 鎖相環頻率閤成器
3.3 模擬信號的常用處理單元
3.3.1 集成運放及其在信號調理電路中的典型運用
3.3.2 測量放大器
3.3.3 RC有源濾波器的實用電路
3.3.4 D類音頻功率放大器
3.4 模擬信號變換單元
3.4.1 集成電壓比較器
3.4.2 采樣保持器
3.4.3 多路模擬開關
3.4.4 電壓-電流變換器
3.4.5 電壓-頻率變換器
3.4.6 頻率解碼電路
3.4.7 數字電位器及其應用
3.5 傳感器與放大器之間的“匹配
習題與思考題3
參考文獻

4 模擬設計中的EDA技術
4.1 引言
4.2 用於模擬設計的EDA工具簡介
4.2.1 PSpice簡介
4.2.2 OrCAD簡介
4.2.3 EWB簡介
4.2.4 MATLAB簡介
4.2.5 影響EDA模擬設計正確性的因素
4.3 PSpice及EWB中高級分析的使用
4.3.1 參數掃描分析
4.3.2 溫度掃描分析
4.3.3 靈敏度分析
4.3.4 最壞情況分析
4.3.5 濛特一卡羅(Monte-Carlo)分析
4.4 器件宏模型在PSpice模擬中的應用舉例
4.4.1 關於器件宏模型
4.4.2 應用舉例
4.5 在係統可編程模擬器件(ispPAC)的原理及應用
4.5.1 概述
4.5.2 結構與性能簡介
4.5.3 應用舉例
4.5.4 在係統可編程電源管理器件——ispPAC Power Manager
習題與思考題4
參考文獻

5 數字係統設計
5.1 概述
5.2 可編程邏輯器件(PLD)及其應用
5.2.1 可編程邏輯器件(PLD)概述
5.2.2 可編程邏輯器件的結構與編程方法
5.2.3 可編程邏輯器件(PLD)的使用
5.2.4 可編程片上係統(SOPC)
5.3 Verilog HDL語言及其應用
5.3.1 Verilog HDL語言的基本結構
5.3.2 Verilog HDL的基本語法
5.3 I.3 不同抽象級彆的Verilog HDL模型
5.3.4 係統的分層描述
5.3.5 用Verilog HDI。描述具體電路舉例
5.4 全硬件數字係統的設計
5.4.1 總體方案設計
5.4.2 子係統設計
習題與思考題5
參考文獻

6 嵌入式處理器與嵌入式係統及其應用
6.0引言
6.1 單片機基本知識的迴顧
6.1.1 MCS一51係列單片機內部資源及引腳功能
6.1.2 單片機最小係統
6.2 單片機應用係統的一般組成及開發過程
6.2.1 單片機應用係統的一般組成
6.2.2 單片機應用係統的開發過程
6.2.3 單片機測量控製係統概述
6.3 單片機與外圍器件的連接
6.3.1 單片機與並行總綫外圍器件的連接
6.3.2 單片機與串行外圍器件的連接
6.3.3 單片機與以太網控製器的連接
6.3.4 用單片機測量脈衝頻率的接口及測量方法
6.4 單片機輸齣控製通道
6.5 單片機C語言
6.5.1 概述
6.5.2 使用KeilC51的軟件設計
6.6 DSP原理、結構及應用
6.6.1 概述
6.6.2 TMS320係列的結構
6.6.3 TMS320F2812硬件電路設計
6.6.4 基於DSP的智能測控係統的硬件結構
6.6.5 DXP在測控係統中應用的軟件設計
6.7 ARM處理器及嵌入式操作係統簡介
6.7.1 ARM處理器簡介
6.7.2 uCLinux嵌入式操作係統簡介
6.7.3 嵌入式軟件的開發環境與工具
6.8 基於FPGA的SOPC係統簡介
6.8.1 概述
6.8.2 Nios II軟核處理器
6.8.3 應用Nios II設計SOPC
習題與思考題6
參考文獻

7 電子係統的芯片實現方法
7.1 引言
7.2 設計流程
7.2.1 概述
7.2.2 數字ASIC的設計流程
7.2.3 模擬ASIC的設計流程
……
8 電子係統設計與製造的有關工程問題
9 電子係統設計舉例

精彩書摘

在沒有EDA工具的條件下,或者是作為學習的目的,僅做一些簡單係統設計的練習,可采用傳統的手工設計方法去完成。此外熟悉傳統手工設計步驟,還有助於學習與掌握使用EDA工具的設計方法與步驟。下麵就對電子係統的手工設計步驟做一介紹:
1)審題
通過對給定任務或設計課題的具體分析,明確所要設計的係統的功能、性能、技術指標及要求。這是保證所做的設計不偏題、不漏題的先決條件。為此,就要求設計人員在用戶和設計主管人之間反復進行交流與討論。或者,如果是作為學生的大作業,就應與命題老師進行充分的交流,務必弄清係統的設計任務要求。在真實的工程設計中如果發生瞭偏題與漏題,用戶將拒絕接受你的設計,你還要承擔巨大的經濟責任甚至法律責任;如果該設計是一次電子設計競賽,你將丟掉名次。所以審題這一步,事關重大,務必走穩、走好。
2)方案選擇與可行性論證
把係統所要實現的功能分配給若乾個子係統中的單元電路,並畫齣一個能錶示各單元功能的整機原理框圖。這項工作要綜閤運用所學的知識,並同時查閱有關參考資料;要敢於創新、敢於采用新技術,不斷完善所提的方案;還應提齣幾種不同的方案,對它們的可行性進行論證。即從完成的功能的齊全程度、性能和技術指標的高低程度、經濟性、技術的先進性以及完成的進度等方麵進行比較,最後選擇一個較好的方案。
3)單元電路的設計、參數計算和元器件選擇
在方案選擇與論證完成後,對各單元電路的功能、性能指標、與前後級之間的關係均應當明確而無含糊之點,下一步就是進行單元電路的設計瞭。首先,要對各個單元電路可能的組成形式進行分析、比較。單元電路的形式一旦確定之後,就可選擇元器件;然後根據某種原則或依據先確定好單元電路中部分元件的參數,再去計算其餘的元件參數和電路參數(如放大倍數、振蕩頻率等)。顯然,這一步工作需要有紮實的模擬電子綫路和數字電路的知識和清楚的物理概念。
4)組裝與調試設計結果的正確性需要驗證,但手工設計無法實現自動驗證。雖然也可以在紙麵上進行手工驗證,但由於人工管理復雜性的能力有限,再加上人工計算時多用近似,設計中使用的器件參數與實際使用的器件參數不一緻等因素,使得設計中總是不可避免地存在誤差甚至錯誤,因而不能保證最終的設計是完全正確的。這就需要將設計的係統在麵包闆或印刷闆上進行組裝,並用儀器進行測試,發現問題時隨時修改,直到所要求的功能和性能指標全部符閤要求為止。一個未經驗證的設計總是有這樣那樣的問題和錯誤,若送到工廠投産去必將導緻巨大的浪費。所以通過組裝與調試對設計進行驗證與修改、完善是傳統手工設計法不可缺少的一個步驟。

前言/序言

  《綜閤電子設計與實踐》一書自2002年3月齣版以來,至今已曆時7年多。正如MNG三定律①所揭示的那樣,在過去的7年多中,微電子、通信、信息技術(IT)等均以驚人的發展速度創造和催生瞭許多奇跡。其成果惠及瞭生活在當代的人們的日常工作、學習、文化娛樂、問醫求藥、通信、證券交易、旅遊交通等諸多方麵。反映在相關的電路與係統的理論、軟硬件技術、電子産品、EDA工具以及電子製造工藝等方麵,皆伴隨著齣現許多新的建樹與新的事物。作為電氣電子信息類專業實踐教材的《綜閤電子設計與實踐》,對上述領域內齣現的新生事物應當有所反映,以使該教材能適應電氣電子信息技術進步與發展的最新形勢以及教學改革的需求。因此有必要對該書做一次推陳齣新的修編。
  此次修編首先將各章的行文及技術用語根據技術進步與發展的最新形勢做瞭一些必要的修改、調整與潤色,並更正瞭一些印刷錯誤。其次,對有關內容進行瞭一些刪減與替換補充。壓縮瞭那些在前修課與本課之間起著承上啓下作用的部分迴顧性的論述,補充介紹瞭若乾新係統、新電路、新器件、新軟件、新技術和新工藝。此外,從更有利於培養學生的係統設計能力、理論聯係實際的能力以及實踐動手的能力,更新、改寫與補充瞭書中的一些設計舉例。為配閤本書的實踐教學,采用並具體介紹瞭由東南大學信息科學與工程學院束海泉教授②的團隊研發、生産的《ESD一7綜閤電子設計與實踐平颱》,本書第九章中有三個設計舉例就是利用該平颱完成硬、軟件的設計與驗證的。為瞭給使用本書的老師提供製作課件以及教學的方便,將本書所有插圖、附錄和部分在《ESD一7綜閤電子設計與實踐平颱》上完成的參考設計的有關介紹,以及該設計的軟件清單等刻錄在一張光盤上,作為上述平颱的附件之一。
綜閤電子設計與實踐(第2版) 下載 mobi epub pdf txt 電子書
綜閤電子設計與實踐(第2版) pdf epub mobi txt 電子書 下載
想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

綜閤電子設計與實踐(第2版) pdf epub mobi txt 電子書 下載





相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 tushu.tinynews.org All Rights Reserved. 求知書站 版权所有