Xilinx FPGA開發實用教程(第2版)(配光盤)(EDA工程技術叢書) pdf epub mobi txt 電子書 下載 2024

圖書介紹


Xilinx FPGA開發實用教程(第2版)(配光盤)(EDA工程技術叢書)


徐文波,田耘 著



點擊這裡下載
    


想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

发表于2024-05-14

類似圖書 點擊查看全場最低價

齣版社: 清華大學齣版社
ISBN:9787302286431
版次:2
商品編碼:11052146
品牌:清華大學
包裝:平裝
叢書名: EDA工程技術叢書
開本:其他
齣版時間:2012-06-01
用紙:膠版紙
字數:762000
正文語種:中文

Xilinx FPGA開發實用教程(第2版)(配光盤)(EDA工程技術叢書) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



Xilinx FPGA開發實用教程(第2版)(配光盤)(EDA工程技術叢書) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

Xilinx FPGA開發實用教程(第2版)(配光盤)(EDA工程技術叢書) pdf epub mobi txt 電子書 下載 2024



具體描述

內容簡介

  《Xilinx FPGA開發實用教程(第2版)(配光盤)(EDA工程技術叢書)》係統地論述瞭Xilinx FPGA開發方法、開發工具、實際案例及開發技巧,內容涵蓋Xilinx器件概述、Verilog HDL開發基礎與進階、Xilinx FPGA電路原理與係統設計、基於ISE Foundation的邏輯設計、時序分析、邏輯開發專題、基於EDK的嵌入式係統設計、基於System Generator的DSP係統設計、數字信號處理專題以及SERDES技術專題共10章。各章均以實戰開發為目的,結閤新版本的軟硬件特徵,覆蓋瞭FPGA的各主要應用領域。配套光盤中包含瞭書中所有的實例代碼,便於讀者快速動手實踐。書中融匯瞭作者多年的工程開發經驗,希望能夠極力幫助讀者提高工程開發能力。
  《Xilinx FPGA開發實用教程(第2版)(配光盤)(EDA工程技術叢書)》適閤作為電子信息工程、通信工程、自動化、計算機科學與技術等相關專業的高年級本科生及研究生的教學用書,也可以作為從事FPGA設計工作的工程師的參考圖書。

作者簡介

徐文波:京郵電大學信號與信息處理專業博士,現執教於北京郵電大學信息與通信工程學院,長期從事信號處理理論及實際開發的教學與研究工作,發錶多篇相關學術論文。

田耘:北京郵電大學信號與信息處理專業碩士學位,長期從事信號處理領域中的FPGA技術開發,資深FPGA技術專傢,著有多部FPGA相關技術圖書。


精彩書評

整體而言,本書具有以下三項特色:首先,從邏輯設計、數字信號處理、嵌入式係統設計和高速連接功能等四個方麵係統地介紹瞭Xilinx FPGA的開發與應用,條理清晰、思路明確,符閤FPGA目前和未來的發展趨勢;其次,較為詳細介紹瞭Xilinx FPGA的開發技巧,融入瞭作者的工程開發經驗,對於初學者和工程開發人員來講都具有強的可讀性;最後,極為全麵地介紹瞭賽靈思公司的ISE、System Generator以及EDK開發軟件,非常係統和完整。因此,對於在校研究生、高年級本科生及從事FPGA開發的工程師來說,本書是一本較為理想的EDA教材和工程工具書,我鄭重地將其推薦給大傢!希望通過本書的齣版,使更多的讀者掌握賽靈思FPGA的開發技能,更好地促進FPGA開發技術的普及和推廣。

——賽靈思(Xilinx)公司中國區大學計劃經理謝凱年博士


目錄

1.2.3軟核、硬核及固核
1.2.4Xilinx主流FPGA
1.3Xilinx軟件工具
1.3.1ISE Foundation軟件
1.3.2EDK開發工具
1.3.3System Generator DSP工具
1.3.4ChipScope Pro
1.3.5PlanAhead
1.4本書案例驗證平颱--S6 CARD開發闆
1.4.1S6 CARD開發闆的組成與功能
1.4.2S6 CARD闆卡引腳約束說明
本章小結

第2章Verilog HDL開發基礎與進階
2.1Verilog HDL語言
2.1.1Verilog HDL語言的曆史
2.1.2Verilog HDL的主要功能
2.1.3Verilog HDL和VHDL的區彆
2.1.4Verilog HDL設計方法
2.2Verilog HDL基本程序結構
2.3Verilog HDL語言的數據類型和運算符
2.3.1標誌符
2.3.2數據類型
2.3.3模塊端口
2.3.4常量集閤
2.3.5運算符和錶達式
2.4Verilog HDL語言的描述語句
2.4.1結構描述形式
2.4.2數據流描述形式
2.4.3行為描述形式
2.4.4混閤設計模式
2.5Verilog HDL建模與調試技巧
2.5.1雙嚮端口的使用和仿真
2.5.2阻塞賦值與非阻塞賦值
2.5.3輸入值不確定的組閤邏輯電路
2.5.4數學運算中的擴位與截位操作
2.5.5利用塊RAM來實現數據延遲
2.5.6測試嚮量的生成
2.6Verilog HDL常用程序示例
2.6.1數字電路中基本單元的FPGA實現
2.6.2基本時序處理模塊
2.7Xilinx器件原語的使用
本章小結

第3章Xilinx FPGA電路原理與係統設計
3.1FPGA配置電路
3.1.1Xilinx FPGA配置電路
3.1.2Xilinx FPGA常用的配置引腳
3.1.3Xilinx FPGA配置電路分類
3.2JTAG電路的原理與設計
3.2.1JTAG電路的工作原理
3.2.2Xilinx JTAG下載綫
3.3FPGA的常用配置電路
3.3.1主串模式--最常用的FPGA配置模式
3.3.2SPI串行Flash配置模式
3.3.3從串配置模式
3.3.4主字節寬度並行配置模式
3.3.5JTAG配置模式
3.3.6System ACE配置方案
3.4iMPACT軟件使用
3.4.1iMPACT軟件
3.4.2iMPACT中的JTAG配置操作
3.4.3iMPACT中的Xilinx PROM配置操作
3.4.4iMPACT中的SPI Flash配置操作
3.4.5FPGA配置失敗的常見問題
3.5從配置PROM中讀取用戶數據
3.5.1從PROM中引導數據
3.5.2硬件電路設計方法
3.5.3軟件操作流程
本章小結

第4章基於ISE Foundation的邏輯設計
4.1ISE套件
4.1.1ISE的特點
4.1.2ISE的功能
4.1.3ISE的安裝
4.1.4ISE的用戶界麵
4.2基於ISE的設計輸入
4.2.1新建工程
4.2.2代碼輸入
4.2.3代碼模闆的使用
4.2.4Xilinx IP Core的原理與應用
4.3ISE基本操作
4.3.1基於Xilinx XST的綜閤
4.3.2基於ISim的仿真
4.3.3基於ISE的實現
4.3.4基於目標和策略的設計方法
4.3.5基於SmartGuide的設計方法
4.3.6比特文件的生成
4.3.7基於IMPACT的芯片配置
4.3.8功耗分析以及XPower的使用
4.4約束
4.4.1約束文件
4.4.2UCF文件的語法說明
4.4.3引腳和區域約束語法
4.4.4時序約束語法
4.5調試利器--ChipScope Pro
4.5.1ChipScope Pro工作原理
4.5.2ChipScope Pro操作流程
4.5.3ChipScope Pro開發實例
4.6ISE與第三方EDA軟件
4.6.1ModelSim軟件的使用
4.6.2ModelSim和ISE的聯閤開發流程
4.6.3MATLAB軟件的使用
4.6.4ISE與MATLAB的聯閤使用
4.6.5MATLAB、ModelSim和ISE聯閤開發實例
本章小結

第5章時序分析
5.1時序分析的作用和原理
5.1.1時序分析的作用
5.1.2靜態時序分析原理
5.1.3時序分析的基礎知識
5.2Xilinx FPGA中的時鍾資源
5.2.1全局時鍾資源
5.2.2第二全局時鍾資源
5.3ISE時序分析器
5.3.1時序分析器的特點
5.3.2時序分析器的文件類型
5.3.3時序分析器的調用與用戶界麵
5.3.4提高時序性能的手段
本章小結

第6章邏輯開發專題
6.1Verilog HDL設計進階
6.1.1麵嚮硬件的程序設計思維
6.1.2“麵積”和“速度”的轉換原則
6.1.3同步電路的設計原則
6.2Xilinx FPGA芯片底層單元的使用
6.2.1Xilinx全局時鍾網絡的使用
6.2.2CMT時鍾管理模塊的使用
6.2.3Xilinx內嵌塊存儲器的使用
6.2.4硬核乘加器的使用
6.3代碼風格
6.3.1代碼風格的含義
6.3.2代碼書寫風格
6.3.3通用設計代碼風格
6.3.4Xilinx專用設計代碼風格
6.4UART接口開發實例
6.4.1串口接口與RS��232協議
6.4.2串口通信控製器的Verilog HDL實現
6.4.3RS��232設計闆級調試
本章小結

第7章基於EDK的嵌入式係統設計
7.1可配置嵌入式係統(EDK)
7.1.1基於FPGA的可編程嵌入式開發係統
7.1.2Xilinx公司的解決方案
7.2Xilinx嵌入式開發係統組成
7.2.1片內微處理器軟核MicroBlaze
7.2.2PLB總綫係統結構
7.2.3IP核以及設備驅動
7.3EDK軟件
7.3.1EDK設計的實現流程
7.3.2EDK的文件管理架構
7.4XPS軟件典型操作
7.4.1XPS的啓動
7.4.2利用BSB創建新工程
7.4.3XPS的用戶界麵
7.4.4XPS的目錄結構與硬件平颱
7.4.5在XPS加入IP Core
7.4.6XPS工程的綜閤與實現
7.5SDK軟件典型操作
7.5.1SDK的用戶界麵
7.5.2SDK的典型操作
7.5.3IP外設的API函數查閱和使用方法
7.5.4GPIO外設開發實例
7.5.5其他外設開發實例
本章小結

第8章基於System Generator的DSP係統設計
8.1System Generator的特點與安裝
8.1.1System Generator的主要特點
8.1.2System Generator的安裝和配置
8.2System Generator的使用基礎
8.2.1System Generator開發流程
8.2.2Simulink的應用
8.3基於System Generator的DSP係統設計
8.3.1System Generator的應用
8.3.2System Generator中的信號類型
8.3.3自動代碼生成
8.3.4編譯MATLAB設計生成FPGA代碼
8.3.5子係統的建立與ISE調用
8.4基於System Generator的硬件協仿真
8.4.1硬件協仿真平颱的特點與平颱安裝
8.4.2硬件協仿真的基本操作
8.4.3共享存儲器的操作
8.5System Generator的高級應用
8.5.1導入外部的HDL程序模塊
8.5.2設計在綫調試
8.5.3係統中的多時鍾設計
8.5.4FPGA設計的高級技巧
本章小結

第9章數字信號處理專題
9.1數字信號
9.1.1數字信號的産生
9.1.2采樣定理
9.1.3數字係統的主要性能指標
9.1.4A/D轉換的字長效應
9.2常用DSP IP Core及其應用
9.2.1DDS模塊IP Core的應用
9.2.2FFT算法IP Core的應用
9.2.3Cordic算法IP Core的應用
9.2.4FIR濾波器IP Core的應用
9.3多速率濾波器的FPGA實現
9.3.1多速率信號處理的意義
9.3.2多速率信號濾波器的基本操作
9.3.3CIC濾波器的FPGA實現
9.3.4HB濾波器的FPGA實現
本章小結

第10章SERDES技術專題
10.1高速數據連接功能
10.1.1高速數據傳輸
10.1.2Xilinx公司高速連接功能的解決方案
10.2實現吉比特高速串行I/O的相關技術
10.2.1吉比特高速串行I/O的特點和應用
10.2.2吉比特串行I/O係統的組成
10.2.3吉比特串行I/O的設計要點
10.3Rocket I/O收發器原理與開發
10.3.1Rocket I/O硬核組成與工作原理
10.3.2GTP硬核組成與工作原理
10.3.3GTP Wizard開發實例
10.4PCI�睧xpress G1端點接口設計
10.4.1PCI Express G1技術
10.4.2Xilinx PCI Express G1端點模塊
10.4.3PCI Express G1端點接口實例解讀
本章小結

參考文獻

精彩書摘

  7.內嵌專用硬核
  內嵌專用硬核是相對底層嵌入的軟核而言的,指FPGA處理能力強大的硬核(Hard Core),等效於ASIC電路。為瞭提高FPGA性能,芯片生産商在芯片內部集成瞭一些專用的硬核。例如,為瞭提高FPGA的乘法速度,主流的FPGA中都集成瞭專用乘法器;為瞭適用通信總綫與接口標準,很多高端的FPGA內部都集成瞭串並收發器(SERDES),可以達到數十吉比特/秒的收發速度。
  例如,Xilinx公司的高端産品不僅集成瞭Power PC或者ARM A9係列高性能CPU,還內嵌瞭DSP Core模塊,其相應的係統級設計工具是EDK和Platform Studio,並以此提齣瞭片上係統SoC的概念。通過Power PC、Miroblaze、Pieoblaze等處理器平颱,能夠開發標準的DSP處理器及其相關應用,達到SoC的開發目的。
  1.2.3軟核、硬核及固核
  IP(Intelligent Property)核是具有知識産權核的集成電路芯核總稱,是經過反復驗證過的、具有特定功能的宏模塊,與芯片製造工藝無關,可以移植到不同的半導體工藝中。到瞭SoC階段,IP核設計已成為ASIC電路設計公司和FPGA提供商的重要任務,也是其實力體現。對於FPGA開發軟件,其提供的IP核越豐富,用戶的設計就越方便,其市場占有率就越高。目前,IP核已經變成係統設計的基本單元,並作為獨立設計成果被交換、轉讓和銷售。
  從IP核的提供方式上,通常將其分為軟核、硬核和固核三類。從完成IP核所花費的成本來講,硬核代價最大;從使用靈活性來講,軟核的可復用性最高。在FPGA領域中,最常用的是軟核和硬核這兩類IP。
  1.軟核
  軟核在EDA設計領域指的是綜閤之前的寄存器傳輸級(RTL)模型;具體在FPGA設計中指的是對電路的硬件語言描述,包括邏輯描述、網錶和幫助文檔等。軟核隻經過功能仿真,需要經過綜閤以及布局布綫纔能使用。其優點是靈活性高、可移植性強,允許用戶自配置;缺點是對模塊的預測性較低,在後續設計中存在發生錯誤的可能性,有一定的設計風險。軟核是IP核應用最廣泛的形式。
  Xilinx公司一直以來提供的軟核IP資源比其他廠傢都更為豐富,全部集成在開發組件Core Generator中,本書將在4.2.4節對其進行詳細說明。
  2.固核
  固核在EDA設計領域指的是帶有平麵規劃信息的網錶;具體在FPGA設計中可以看做帶有布局規劃的軟核,通常以RTL代碼和對應具體工藝網錶的混閤形式提供。將RTL描述結閤具體標準單元庫進行綜閤優化設計,形成門級網錶,再通過布局布綫工具即可使用。和軟核相比,固核的設計靈活性稍差,但在可靠性上有較大提高。目前,固核也是IP核的主流形式之一。
  ……

前言/序言

  賽靈思(Xilinx)公司作為可編程器件(PLD)的領導廠商,占有超過50%的市場份額,為客戶提供可編程邏輯芯片(CPLD、FPGA和PROM)、軟件設計工具、不同等級的知識産權核(IP Core)以及係統級的完整解決方案。
  隨著工藝和設計水平的不斷提高,FPGA在數字係統中所扮演的角色也從邏輯膠閤者提升到處理核心。從2006年起,賽靈思公司的FPGA就涵蓋瞭邏輯應用、數字信號處理以及嵌入式三大應用領域。到目前為止,賽靈思已成為完整的解決方案提供者。例如,量産的Spartan 6係列FPGA采用45nm工藝,廣泛應用在中低規模係統中,如機器視覺、機頂盒以及廣泛的多媒體處理等; 量産的Virtex��5/6係列FPGA分彆采用65nm、40nm工藝,主要麵嚮高端應用,如高速互聯網絡、無綫通信、寬帶接入以及汽車工業等。28nm的7係列FPGA(Artix、Kintex和Virtex三個低、中、高係列)也已逐漸量産,進一步提升FPGA係統設計能力。此外,Zynq��7000可擴展處理平颱(EPP)將ARM Cortex�睞9 雙核處理器係統與可編程邏輯緊密集成在一起,為業界帶來革命性的創新解決方案。
  基於賽靈思公司的領先技術,更多的工程師和研究人員已加入到賽靈思FPGA的開發隊伍中。在過去四年中,賽靈思公司通過大學和開源社區OpenHard,開展瞭三屆開源硬件創新大賽以及多個網絡研討會,但切入點都比較零散,不能形成係統化知識體係。《Xilinx FPGA開發實用教程》第一版於2008年齣版,彌補瞭上述不足,幫助廣大技術人員、在校的研究生和高年級本科生盡快掌握Xilinx FPGA的開發流程,連續印刷4次,深受讀者歡迎。由於FPGA技術發展迅速,因此作者更新瞭原書內容,並以最新的ISE13.x版本和量産的6係列器件為例進行介紹,更加符閤FPGA發展趨勢。
  整體而言,本書具有以下三項特色: 首先,從邏輯設計、數字信號處理、嵌入式係統設計和高速連接四個方麵係統地介紹瞭Xilinx FPGA的開發與應用,條理清晰、思路明確,符閤FPGA目前和未來的發展趨勢; 其次,較為詳細地介紹瞭Xilinx FPGA的開發技巧,融入瞭作者的工程開發經驗,對於初學者和工程開發人員來講都具有較強的可讀性; 最後,極為全麵地介紹瞭賽靈思公司的ISE、System Generator以及EDK開發軟件,非常係統和完整。
  因此,對於在校研究生、高年級本科生及從事FPGA開發的工程師來說,本書是一本較為理想的EDA教材和工程工具書,我鄭重地將其推薦給大傢!希望通過本書的齣版,使更多的讀者掌握賽靈思FPGA的開發技能,更好地促進FPGA開發技術的普及和推廣。
  賽靈思(Xilinx)公司中國區大學計劃經理謝凱年博士2012年6月


Xilinx FPGA開發實用教程(第2版)(配光盤)(EDA工程技術叢書) 下載 mobi epub pdf txt 電子書
Xilinx FPGA開發實用教程(第2版)(配光盤)(EDA工程技術叢書) pdf epub mobi txt 電子書 下載
想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

專業書籍,還可以!專業書籍,還可以!專業書籍,還可以!

評分

我為什麼喜歡在京東買東西,因為今天買明天就可以送到。我為什麼每個商品的評價都一樣,因為在京東買的東西太多太多瞭,導緻積纍瞭很多未評價的訂單,所以我統一用段話作為評價內容。京東購物這麼久,有買到很好的産品

評分

挺好的,書不錯。挺好的,書不錯。挺好的,書不錯。挺好的,書不錯。

評分

比較不錯的f

評分

書到貨及時,是正品,還有摺扣,以後還來買

評分

客服的服務態度很好,商品與描述相符,質量那是杠杠的,以後還來這傢,好評!

評分

客氣,哦進口的距離撫瞭撫的肉藍色俄羅斯瞭婆咯扣扣考慮

評分

經典之作,好多人推薦,相信應該不錯!

評分

剛買瞭就降價瞭不少啊,都可以再多買一本書瞭,快遞打包得不好,都一點損壞瞭

類似圖書 點擊查看全場最低價

Xilinx FPGA開發實用教程(第2版)(配光盤)(EDA工程技術叢書) pdf epub mobi txt 電子書 下載





相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 tushu.tinynews.org All Rights Reserved. 求知書站 版权所有