高頻CMOS模擬集成電路基礎 pdf epub mobi txt 電子書 下載 2024

圖書介紹


高頻CMOS模擬集成電路基礎


Duran Leblebici 著



點擊這裡下載
    


想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

发表于2024-05-09

類似圖書 點擊查看全場最低價

店鋪: 欣欣佳和圖書專營店
齣版社: 科學齣版社
ISBN:9787030315199
商品編碼:29250622205
包裝:平裝
齣版時間:2011-06-01

高頻CMOS模擬集成電路基礎 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



高頻CMOS模擬集成電路基礎 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

高頻CMOS模擬集成電路基礎 pdf epub mobi txt 電子書 下載 2024



具體描述

基本信息

書名:高頻CMOS模擬集成電路基礎

定價:60.00元

作者:Duran Leblebici

齣版社:科學齣版社

齣版日期:2011-06-01

ISBN:9787030315199

字數:

頁碼:

版次:1

裝幀:平裝

開本:16開

商品重量:0.481kg

編輯推薦


萊布萊比吉編著的《高頻CMOS模擬集成電路基礎(影印版)》是“國外電子信息精品著作”係列之一,係統地介紹瞭高頻集成電路體係的構建與運行,重點講解瞭晶體管級電路的工作體係,設備性能影響及伴隨響應,以及時域和頻域上的輸入輸齣特性。

內容提要


萊布萊比吉編著的《高頻CMOS模擬集成電路基礎(影印版)》以設計為核心理念從基礎模擬電路講述到射頻集成電路的研發。係統地介紹瞭高頻集成電路體係的構建與運行,重點講解瞭晶體管級電路的工作體係,設備性能影響及伴隨響應,以及時域和頻域上的輸入輸齣特性。
《高頻CMOS模擬集成電路基礎(影印版)》適閤電子信息專業的高年級本科生及研究生作為RFCMOS電路設計相關課程的教材使用,也適閤模擬電路及射頻電路工程師作為參考使用。

目錄


Preface1 Components of analog CMOS ICs 1.1 MOS transistors 1.1.1 Current-voltage relations of MOS transistors 1.1.1.1 The basic current-voltage relations without velocitysaturation 1.1.1.2 Current-voltage relations under velocity saturation 1.1.1.3 The sub-threshold regime 1.1.2 Determination of model parameters and related secondaryeffects 1.1.2.1 Mobility 1.1.2.2 Gate capacitance 1.1.2.3 Threshold voltage 1.1.2.4 Channel length modulation factor 1.1.2.5 Gate length (L) and gate width (W) 1.1.3 Parasitics of MOS transistors 1.1.3.1 Parasitic capacitances 1.1.3.2 The high-frequency figure of merit 1.1.3.3 The parasitic resistances 1.2 Passive on-chip ponents 1.2.1 On-chip resistors 1.2.2 On-chip capacitors 1.2.2.1 Passive on-chip capacitors 1.2.2.2 Varactors 1.2.3 On-chip inductors2 Basic MOS amplifiers: DC and low-frequency behavior 2.1 Common source (grounded source) amplifier 2.1.1 Biasing 2.1.2 The small-signal equivalent circuit 2.2 Active transistor loaded MOS amplifier(CMOS inverter asanalog amplifier) 2.3 Common-gate (grounded-gate) amplifier 2.4 Common-drain amplifier (source follower) 2.5 The long tailed pair 2.5.1 The large signal behavior of the long tailed pair 2.5.2 Common-mode feedback3 High-frequency behavior of basic amplifiers 3.1 High-frequency behavior of a mon-source amplifier 3.1.1 The R-C load case 3.2 The source follower amplifier at radio frequencies 3.3 The mon-gate amplifier at high frequencies 3.4 The cascode amplifier 3.5 The CMOS inverter as a transimpedance amplifier 3.6 MOS transistor with source degeneration at high frequencies 3.7 High-frequency behavior of differential amplifiers 3.7.1 The R-C loaded long tailed pair 3.7.2 The fully differential, current-mirror loaded amplifier 3.7.3 Frequency response of a single-ended output long tailedpair 3.7.4 On the input and output admittances of the long tailedpair 3.8 Gain enhancement techniques for high-frequency amplifiers 3.8.1 Additive approach: distributed amplifiers 3.8.2 Cascading strategies for basic gain stages 3.8.3 An example: the Cherry-Hooper amplifier4 Frequency-selective RF circuits 4.1 Resonance circuits 4.1.1 The parallel resonance circuit 4.1.1.1 The quality factor of a resonance circuit 4.1.1.2 The quality factor from a different point of view 4.1.1.3 The Q enhancement 4.1.1.4 Bandwidth of a parallel resonance circuit 4.1.1.5 Currents of L and C branches of a parallel resonancecircuit 4.1.2 The series resonance circuit 4.1.2.1 Component voltages in a series resonance circuit 4.2 Tuned amplifiers 4.2.1 The mon-sot/rce tuned amplifier 4.2.2 Thi tuned cascode amplifier 4.3 Cascaded tuned stages and the staggered tuning 4.4 Amplifiers loaded with coupled resonance circuits 4.4.1 Magic coupling 4.4.2 Capacitive coupling 4.5 The gyrator: a valuable tool to realize high-value on-chipinductances 4.5.1 Parasitics of a non-ideal gyrator 4.5.2 Dynamic range of a gyrat0r-based inductor 4.6 The low-noise amplifier (LNA) 4.6.1 Input impedance matching 4.6.2 Basic circuits suitable for LNAs 4.6.3 Noise in amplifiers 4.6.3.1 Thermal noise of a resistor 4.6.3.2 Thermal noise of a MOS transistor 4.6.4 Noise in LNAs 4.6.5 The differential LNA5 L-C oscillators 5.1 The negative resistance approach to L-C oscillators 5.2 The feedback approach to L-C oscillators 5.3 Frequency stability of L-C oscillators 5.3.1 Crystal oscillators 5.3.2 The phase-lock technique 5.3.3 Phase noise in oscillators6 Analog-digital interface and system-level design considerations 6.1 General observations 6.2 Discrete-time sampling 6.3 Influence of sampling clock jitter 6.4 Quantization noise 6.5 Converter specifications 6.5.1 Static specifications 6.5.2 Frequency-domain dynamic specifications 6.6 Additional observations on noise in high-frequency ICsAppendix A Mobility degradation due to the transversal fieldAppendix B Characteristic curves and parameters of AMS 0.35 micronNMOS and PMOS transistorsAppendix C BSIM3-v3 parameters of AMS 0.35 micron NMOS and PMOStransistorsAppendix D Current sources and current mirrors D.1 DC current sources D.2 Frequency characteristics of basic current mirrors D.2.1 Frequency characteristics for normal saturation D.2.2 Frequency characteristics under velocity saturationReferencesIndex

作者介紹


文摘


序言



高頻CMOS模擬集成電路基礎 下載 mobi epub pdf txt 電子書
高頻CMOS模擬集成電路基礎 pdf epub mobi txt 電子書 下載
想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

高頻CMOS模擬集成電路基礎 pdf epub mobi txt 電子書 下載





相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 tushu.tinynews.org All Rights Reserved. 求知書站 版权所有