電子創新設計與實踐(第2版) pdf epub mobi txt 電子書 下載 2024

圖書介紹


電子創新設計與實踐(第2版)


王鬆武 著



點擊這裡下載
    


想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

发表于2024-05-08

類似圖書 點擊查看全場最低價

齣版社: 國防工業齣版社
ISBN:9787118067446
版次:2
商品編碼:10141571
包裝:平裝
開本:16開
齣版時間:2010-05-01
頁數:462
正文語種:中文

電子創新設計與實踐(第2版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



電子創新設計與實踐(第2版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

電子創新設計與實踐(第2版) pdf epub mobi txt 電子書 下載 2024



具體描述

內容簡介

  《電子創新設計與實踐(第2版)》以電子創新設計、電子競賽為主綫,介紹瞭現代電子綫路與係統的設計與製作。全書主要內容包括常用模擬電路、高頻與無綫發射、接收電路、遙控電路、基本數字電路、PLD器件的開發與應用、數模混閤電路、電源技術、傳感器技術、單片機技術、電子電路設計範例以及科技寫作基礎等。
  《電子創新設計與實踐(第2版)》編著的內容主要考慮到高校學生的需求。首先,在開放的實驗環境下,學生將充分發揮主觀能動性,完成綜閤性強的係統級電路設計與製作;其次,學生將參加各種電子賽事,要在“實戰演習”中演練水平、獲取佳績,這是《電子創新設計與實踐(第2版)》編寫的初衷。不僅如此,事實上,除大學生群體以外,尚有眾多的電子工程技術人員,需要加強相互之間的交流,這也是我們編寫《電子創新設計與實踐(第2版)》的重要目的。

內頁插圖

目錄

第1章 電子電路設計與製作概論
1.1 電子電路設計與製作入門
1.1.1 電子電路設計與製作如何選題
1.1.2 電子電路設計與製作如何入門
1.1.3 電子技術平颱
1.2 電子電路設計
1.2.1 電子電路設計的基本原則
1.2.2 電子電路設計的基本方法
1.2.3 電子電路設計的步驟
1.3 電子電路製作工藝
1.3.1 電子工程師必須重視電子製作工藝
1.3.2 元器件的測試與篩選
1.3.3 焊接工藝
1.3.4 裝配工藝
1.3.5 PCB設計與製作工藝
1.4 電子電路中的抗乾擾與屏蔽接地
1.4.1 電子電路中的抗乾擾措施
1.4.2 電子電路的電磁屏蔽技術
1.4.3 電子電路的布綫與接地技術
1.4.4 電子電路中的浮置、濾波、隔離技術
1.5 電子電路的調試與檢測
1.5.1 電子電路的調試
1.5.2 排除故障的常用方法
1.5.3 正確處理幾個關係

第2章 常用模擬電路
2.1 分立元件基本放大電路
2.1.1 三極管放大電路
2.1.2 場效應管放大電路
2.2 集成運算放大電路
2.2.1 運算放大器的電路結構與模型
2.2.2 反相比例放大電路
2.2.3 反相求和電路
2.2.4 同相比例放大電路
2.2.5 差動比例電路
2.2.6 儀用放大電路
2.2.7 程控增益放大電路
2.2.8 低頻寬帶放大器
2.3 積分與微分電路
2.3.1 積分電路
2.3.2 微分電路
2.4 信號濾波器
2.4.1 濾波器概述
2.4.2 一階低通有源濾波器
2.4.3 二階低通有源濾波器
2.4.4 二階壓控低通有源濾波器
2.4.5 一階高通有源濾波器
2.4.6 二階有源壓控高通濾波器
2.4.7 帶通有源濾波器
2.4.8 帶阻有源濾波器
2.4.9 濾波器的組閤
2.5 u-I與I-u變換電路
2.5.1 U-I變換
2.5.2 I-U變換器
2.6 電壓比較器
2.6.1 電壓比較器的基本概念
2.6.2 電壓比較器的分類
2.6.3 電壓比較器芯片
2.6.4 電壓比較器的應用示例
2.7 電平轉換電路
2.8 運算放大器的選用
2.8.1 運算放大器的基本參數
2.8.2 運算放大器應用中的若乾問題
2.9 模擬乘法器
2.9.1 模擬乘法器原理
2.9.2 模擬乘法器芯片
2.9.3 MCl596組成的幅度調製電路
2.9.4 MCl596構成的混頻器
2.9.5 MCl596構成的同步檢波器
2.10 音頻功率放大電路
2.10.1 音頻功率放大器工作狀態的劃分
2.10.2 音頻功率放大器的類型及工作原理
2.10.3 D類音頻功率放大器
2.10.4 集成功放的應用
2.10.5 音頻功率放大器的組裝與調試
2.11 模擬開關
2.12 阻容式低頻振蕩器
2.12.1 正弦振蕩器的分類
2.12.2 振蕩原理與産生正弦波振蕩的條件
2.12.3 振蕩器的工作特性
2.12.4 文氏橋RC低頻振蕩器
2.12.5 可編程RC寬帶振蕩器
2.13 電機及其驅動電路
2.13.1 普通直流電機
2.13.2 舵機
2.13.3 步進電機

第3章 高頻與無綫發射、接收電路
3.1 高頻電路基本常識
3.2 高頻放大電路
3.2.1 高頻窄帶調諧式放大電路
3.2.2 高頻寬帶放大電路
3.2.3 高頻功率放大電路
3.2.4 高頻電路中電感綫圈的製作
3.3 三點式LC高頻振蕩器
3.3.1 三點式振蕩器概述
3.3.2 三點式壓控振蕩器
3.3.3 集成壓控振蕩器
3.4 晶體振蕩器
3.4.1 晶體振蕩器概述
3.4.2 實際晶體振蕩器
3.5 鎖相頻率閤成器
3.5.1 頻率閤成器的基本概念
3.5.2 鎖相環的基本部件
3.5.3 集成式鎖相環電路
3.6 無綫電活動與無綫電運動
3.7 無綫電發信機
3.7.1 無綫信息的發送
3.7.2 調頻發射機
3.8 無綫電收信機
3.8.1 無綫信息的接收
3.8.2 調頻接收機
3.8.3 利用電視機高頻頭設計的二次變頻接收機

第4章 遙控電路
4.1 遙控電路概述
4.2 遙控電路中的發射/接收頭
4.3 多路遙控中的編碼/解碼
4.4 遙控通道的擴展
4.5 單用戶、單通道遙控電路
4.5.1 遙測電路
4.5.2 超聲波遙控發射/接收電路
4.5.3 紅外控製電路
4.6 多用戶、多通道編碼遙控電路

第5章 基本數字電路
5.1 組閤邏輯電路的分析方法
5.1.1 組閤邏輯電路分析步驟
5.1.2 組閤邏輯電路分析示例
5.2 組閤邏輯電路的設計方法
5.2.1 組閤邏輯電路設計原則與步驟
5.2.2 組閤邏輯電路設計示例
5.3 常用組閤邏輯電路
5.3.1 編碼器
5.3.2 譯碼器
5.3.3 數據選擇器
5.3.4 加法器
5.3.5 加法器的應用
5.4 時序邏輯電路概述
5.4.1 時序邏輯電路的特點
5.4.2 時序邏輯電路的分析方法
5.5 常用的時序邏輯電路
5.5.1 寄存器
5.5.2 計數器
5.5.3 順序脈衝發生器
5.6 時序邏輯電路的設計方法

第6章 PLD器件的開發與應用
6.1 PLD概述
6.1.1 MAXII係列
6.1.2 Cyclone係列
6.2 PLD的設計與開發
6.2.1 EPM240的設計與開發
6.2.2 EPlc6的設計與開發
6.3 PLD係統的開發
6.3.1 EPM240開發闆
6.3.2 EPlC6的最小係統
6.4 QuartusII的使用
6.4.1 QuartusII的安裝
6.4.2 Qumu~II設計流程
6.4.3 QuartusⅡ的設計特點
6.4.4 QuartusII的使用
6.5 VHDL語言簡介
6.5.1 VHDL語言語法結構
6.5.2 包、庫和配置
6.5.3 數據類型和運算
6.5.4 VHDL語言的主要描述語句
6.5.5 VHDL設計實例
6.6 VHDL編程實例
6.6.1 任意進製分頻器
6.6.2 樂麯自動演奏電路

第7章 數模混閤電路
7.1 常用A/D轉換器
7.1.1 A/D轉換器原理
7.1.2 A/D轉換器的性能指標
7.1.3 常用A/D轉換芯片及應用
7.2 常用D/A轉換器
7.2.1 D/A轉換原理
7.2.2 D/A轉換器的性能指標
7.2.3 並行輸入/電流輸齣D/A轉換器DAC0832
7.3 A/D與D/A轉換器常用的輔助電路
7.3.1 電壓基準
7.3.2 時鍾源電路
7.3.3 采樣保持器
7.4 數字電位器
7.4.1 數字電位器工作原理
7.4.2 數字電位器配置模式
7.4.3 數字電位器連接
7.4.4 數字電位器芯片
7.4.5 數字電位器的應用
7.4.6 數字電位器使用注意事項
7.5 語音電路
7.5.1 語音IC概述
7.5.2 音樂芯片
7.5.3 WTV係列語音芯片
7.5.4 ISD係列語音IC
7.5.5 語音識彆芯片
7.5.6 RSC一364語音識彆芯片
7.5.7 PM50係列語音Ic
7.5.8 PMS0係列語音IC的開發
7.5.9 ISDl700係列語音芯片
7.6 顯示電路
7.6.1 LED顯示和接口
7.6.2 LCD顯示和接口
7.7 DDs數字頻率閤成器
7.7.1 DDS工作原理
7.7.2 DDS芯片
7.7.3 易於開發的:DDS芯片AD9850與AD985l
7.7.4 具有多種調製方式的DDS芯片AD9852與AD9854
7.7.5 DDS芯片在無綫通信係統中的應用

第8章 電源技術
8.1 綫性穩壓電源
8.1.1 簡單穩壓電路
8.1.2 分立元件綫性穩壓電路
8.1.3 固定式綫性集成穩壓電路
8.1.4 可調式綫性集成穩壓器
8.2 開關式穩壓電路
8.2.1 串聯型脈寬調製式開關穩壓電路
8.2.2 並聯型脈寬調製式開關穩壓電路
8.2.3 開關電源實例
8.3 電源變換電路
8.3.1 DC/DC變換電路
8.3.2 DC/AC逆變器
8.3.3 電源變換模塊
8.4 UPS電路
8.5 電子鎮流器電路
8.6 可控矽調壓電路
8.6.1 單嚮可控矽交流調壓電路
8.6.2 雙嚮可控矽交流調壓電路
8.6.3 雙嚮可控矽調壓電路乾擾的抑製
8.7 直流饋電電路
8.7.1 獨立電源供電的考慮
8.7.2 數字電路與模擬電路供電的考慮
8.7.3 退耦濾波電路的考慮
8.7.4 使用二次電源的考慮

第9章 傳感器技術
9.1 傳感器概述
9.1.1 傳感器的定義與發展
9.1.2 傳感器的分類
9.1.3 傳感器的基本特性
9.1.4 傳感器的非綫性校正
9.2 傳感信號的電橋檢測電路
9.3 傳感信號處理電路
9.3.1 電壓輸齣型傳感器的信號放大
9.3.2 電阻型傳感器信號的差動放大
9.3.3 傳感器信號的電流放大
9.3.4 用於金屬檢測的感性傳感信號放大
9.3.5 振蕩器驅動傳感器
9.4 傳感信號變換電路
9.5 傳感器接口電路
9.6 傳感器的應用
9.6.1 溫度傳感器
9.6.2 光敏傳感器
9.6.3 角傳感器
9.6.4 CCD圖像傳感器
9.6.5 霍耳傳感器
9.6.6 氣敏傳感器
9.6.7 超聲波傳感器
9.7 傳感器的標定
9.7.1 標定方法
9.7.2 標定技巧

第10章 單片機原理及應用
10.1 51係列單片機硬件係統
10.1.1 51單片機的內部結構及引腳的功能
10.1.2 MCS-5l存儲器空間的配置和功能
10.1.3 幾個特殊功能寄存器的使用與說明
10.1.4 與中斷控製有關的特殊功能寄存器的使用與說明
10.1.5 定時器/計數器中的控製寄存器的使用與說明
10.1.6 串行通信工作方式及串行
……
第11章 電子測量與基本儀器組
第12章 Photeus電子係統仿真技術
第13章 電子電路設計範例
第14章 科技寫作基礎
附錄A 芯片封裝形式
附錄B 常用的網站
參考文獻

精彩書摘

  1.1.1 電子電路設計與製作如何選題
  首先,解釋一下什麼是電子電路設計與製作。這裏提及的電子電路設計與製作,是指在業餘條件下,設計某一電子電路,並把它組裝調試齣來。為什麼說是在業餘條件下呢?因為在業餘條件下開展電子電路設計與製作,屬於趣味製作和學習的層麵,在高校常稱為學生課外科技活動,不必考慮電子電路設計與製作中涉及的一些標準和商品化問題。例如,一件商品化的電子産品,除對它的外觀和成本有要求外,還要求它的電路闆尺寸很小、元件很緊湊,廠商在定型設計時可以考慮采用貼片元件、多層電路闆等,但在業餘條件下可以不必對此刻意追求。這並不等於說業餘條件下可以任意放寬要求,電子電路設計與製作在業內有通常為人們認可的規則,這是應該遵守的。
  業餘條件下的電子電路設計與製作的難易程度如何掌握?設計與製作的規模有多大?從近年來指導學生進行課外活動看,選題應具有以下特點:
  (1)選題應新穎,實用性強,具有一定的趣味性。選題完成後如有可能,可實現商品化,轉化為産品,或申請專利。
  (2)選題應包括硬件設計和軟件設計,以硬件為主。這樣既能鍛煉學生硬件設計的水平,又能鍛煉學生軟件編程技巧和芯片開發的能力。
  (3)選題應綜閤性強,能夠涵蓋模擬電路、數字電路,一般都需要用單片機作為控製核心或處理信號,或者用PLD等器件來實現。這種綜閤電子係統的設計與製作能提高學生係統級電路的設計水平。
  (4)選題的難易程度應低於或相當於畢業設計的題目,這樣可以在不加重學生課業負擔的基礎上,既豐富瞭學生的課餘生活,又能鍛煉學生的能力。
  (5)如果想通過完成選題在國傢級或省級學科競賽上拿名次,選題應有創新點,有特色。什麼是特色,特色就是人無我有、人有我新。選題比較復雜,很可能是機電結閤型的。
  開展電子電路設計與製作是實踐性很強的項目,最好是在開放的實驗環境下,支持學生題目自擬、方案自選的電子電路設計項目。有許多學生,他們思想活躍,萌生瞭很多設想,有的學生甚至在讀高中時就對電子情有獨鍾,他們要親自動手設計電路並調試齣來,這當然是最好的選擇;但有些學生一時拿不齣好題目,這時指導教師可以為他們提供參考題目,這些題目的來源很多,如全國大學生電子設計競賽題目,都是經典的選題。電子電路設計與製作,魅力無窮、趣味橫生、緻力實踐、大展宏圖。但是,初次涉足電子電路設計與製作領域會感到很睏惑,不知從那裏下手。

前言/序言

  《電子創新設計與實踐》第1版於2005年發行,作者希望藉助此書為開展課外科技創新活動的高校學生及電子愛好者提供一定的幫助,並熱誠期待本書能夠成為一座橋梁,溝通從事電子教學、競賽培訓、工程開發的業內專傢學者之間的交流和學習。自該書齣版以來,受到廣大讀者的支持,引起瞭積極的反響。
  電子係統設計是一個發展速度較快的領域,自2005年以後,新的Ic器件層齣不窮,一些先進的設計方法與理念逐漸成熟,因此,本書第1版中的部分內容已落後於應用技術的發展,鑒於上述情況,編者在第1版的基礎上進行修訂,形成《電子創新設計與實踐》第2版書稿。第2版教材有如下特點:
  (1)體係閤理。從大的方麵劃分,電子電路分為硬件電路與智能電路,硬件電路通常無需軟件編程,而智能電路則是軟硬件結閤的電路,本書對硬件電路與智能電路均進行瞭介紹。硬件電路廣泛采用集成電路和專用芯片。以智能器件為核心的單片機與可編程邏輯器件各列為一章,鑒於單片機與可編程邏輯器件更新換代的速度快,這兩章的內容全部更新,特彆是介紹瞭當前最新的CPLD芯片及其開發軟件,反映瞭當代電子電路設計的新方嚮。電子電路設計範例列為一章,選用瞭實用性強、典型、成熟的電路加以介紹,這些電子係統具有綜閤性強、數模混閤、硬件與軟件相結閤的特點。考慮到電子電路設計與製作和電子測量技術密不可分,故第11章介紹瞭電子測量技術及儀器。第1章作為開篇介紹瞭電子電路設計與製作概論,第14章作為本書的結束,介紹瞭科技寫作基礎。
  在編寫體係上,設置瞭三級目錄,本著循序漸進的原則,敘述由淺人深、重點突齣、通俗易懂。各章節內容相對獨立,既可以通讀,也可根據實際需要選讀。
  (2)注重實踐。本書編寫的主導思想是注重實踐,以工程設計訓練為主,在對電子電路進行分析時,盡量避免抽象的原理分析和數學推導,側重於器件的外特性、電路功能、物理意義和結論的說明。通過電子電路的設計與製作實踐,旨在提高學生的工程實踐能力、分析問題與解決問題的能力。
  (3)啓發創新。當前,培養學生創新精神和創新能力為主題的創新教育已成為高校討論的熱門話題,如何在工程教育中進行教育創新,是值得思考的。在政府部門支持下開展的一係列具有導嚮性、示範性和群眾性的全國大學生科技競賽活動,目的在於推動普通高等學校麵嚮21世紀課程體係和課程內容改革,鼓勵廣大學生踴躍參加課外科技活動,引導高校在教學中培養大學生的創新:意識、協作精神,加強學生工程實踐能力的訓練和培養,為優秀人纔脫穎而齣創造條件。為此,許多高校都建設瞭創新實踐環境,學生可以有很大的自由度自選題目、自行設計,完成具有一定創意的選題。本書考慮到為適應創新教育的要求精選內容,對電子電路創新設計與實踐具
電子創新設計與實踐(第2版) 下載 mobi epub pdf txt 電子書
電子創新設計與實踐(第2版) pdf epub mobi txt 電子書 下載
想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

電子創新設計與實踐(第2版) pdf epub mobi txt 電子書 下載





相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 tushu.tinynews.org All Rights Reserved. 求知書站 版权所有