數字電子技術/教育部推薦教材·21世紀高職高專係列規劃教材 pdf epub mobi txt 電子書 下載 2024

圖書介紹


數字電子技術/教育部推薦教材·21世紀高職高專係列規劃教材


張明金 等 編



點擊這裡下載
    


想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

发表于2024-05-19

類似圖書 點擊查看全場最低價

齣版社: 北京師範大學齣版社
ISBN:9787303098569
版次:1
商品編碼:10157655
包裝:平裝
開本:16開
齣版時間:2009-04-01
用紙:膠版紙
頁數:280
字數:390000
正文語種:中文

數字電子技術/教育部推薦教材·21世紀高職高專係列規劃教材 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



數字電子技術/教育部推薦教材·21世紀高職高專係列規劃教材 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

數字電子技術/教育部推薦教材·21世紀高職高專係列規劃教材 pdf epub mobi txt 電子書 下載 2024



具體描述

內容簡介

  本書是編者將多年的高職高專的教育教學經驗、積纍和收集的資料整理匯編,在北京師範大學齣版社的組織下,編寫而成的。
  本書是電子類、電氣類、信息類專業的數字電子技術課程教材。本書共8章,內容包括數字電路基礎、集成門電路、組閤邏輯電路、觸發器、時序邏輯電路、脈衝産生與變換、數/模轉換和模/數轉換、存儲器和可編程邏輯器件及附錄內容。
  本書在編寫的過程中,本著“精選內容,打好基礎,培養能力”的精神,以學生的“技術應用能力的培養”為主綫,力求講清基本概念,強化應用為重點,深入淺齣地闡述瞭數字集成電路的基本原理和邏輯功能,突齣瞭中規模集成電路的應用。精選有助於建立概念、掌握方法、聯係實際應用的例題和習題。各章目的要求明確。每章有自測題與習題,每節後有思考題,可供讀者練習和思考。書後附有答案,以便讀者自學。
  在本教材編寫時采取瞭以下措施:
  (1)每小節內容後附有針對本節內容的思考題,以便學生學完本節內容後,對本節內容進行思考,或課前先看思考題,帶著問題聽課,以激發學生的求知欲。每章後附有自測題與習題,自測題以便學生檢查學完本章內容後
  的掌握情況,習題作為作業題。
  (2)在保證基本概念、基本原理和基本分析及設計方法的前提下,簡化集成電路的結構和工作原理的講述,以集成電路的功能及應用為主。
  (3)在知識的講解上,力求用簡練的語言循序漸進、深入淺齣地讓學生理解並掌握基本概念,熟悉各種典型的單元電路。對基本單元電路著重介紹其外部特性和參數,以及使用方法和實際應用;對典型電路進行分析時,不做過於煩鎖的理論推導。
  (4)EDA技術在電子技術領域中得到瞭廣泛應用,本書附錄部分對目前比較先進的EDA軟件Multisim7作瞭簡介,並附有數字電子電路的仿真電路。
  本書適用於高職、高專和各類成人教育電子類、電氣類、信息類等專業學生使用,也可供從事電子技術的有關人員參考。

目錄

第1章 數字電路基礎
1.1 概述
1.1.1 數字電路概述
1.1.2 脈衝波形的有關參數
1.2 數製與碼製
1.2.1 常用數製
1.2.2 不同進製的相互轉換
1.2.3 碼製
1.3 邏輯代數的基本運算及基本邏輯門
1.3.1 與邏輯及與門
1.3.2 或邏輯及或門
1.3.3 非邏輯和非門
1.3.4 復閤邏輯和復閤門
1.3.5 邏輯函數及其錶示方法
1.4 邏輯代數的定律和運算規則
1.4.1 邏輯代數的基本定律和公式。
1.4.2 邏輯代數的基本規則
1.5 邏輯函數的代數化簡法
1.6 邏輯函數的卡諾圖化簡法
1.6.1 邏輯函數的最小項
1.6.2 用卡諾圖化簡邏輯函數
1.6.3 具有約束項的邏輯函數的化簡
本章小結
自測題與習題

第2章 集成門電路
2.1 TTl.集成門電路
2.1.1 TTL與非門
2.1.2 TTL門的改進電路
2.1.3 其他功能的TTL門電路
2.2 CMOS集成門電路
2.2.1 CMOS門電路
2.2.2 CMOS門電路係列及型的命名法
2.3 集成門電路使用常識
2.3.1 各種集成門的性能比較
2.3.2 集成門電路使用注意事項
本章小結
自測題與習題

第3章 組閤邏輯電路
3.1 組閤邏輯電路的分析方法和設計方法和設計方法
3.1.1 組閤邏輯電路的分析方法
3.1.2 組閤邏輯電路的設計方法
3.2 編碼器
3.2.1 編碼器
3.2.2 集成優先編碼器
3.3 譯碼器
3.3.1 概述
3.3.2 集成譯碼器
3.3.3 譯碼器的應用
3.4 數據選擇器和數據分配器
3.4.1 數據選擇器
3.4.2 數據分配器
3.5 數值比較器
3.5.1 數值比較器的定義及功能
3.5.2 集成數值比較器
3.6 算術運算電路
3.6.1 半加器
3.6.2 全加器
3.6.3 多位數加法器
3.7 組閤邏輯電路中的競爭與冒險現象
本章小結
自測題與習題

第4章 觸發器
4.1 RS觸發器
4.1.1 基本RS觸發器
4.1.2 同步RS觸發器
4.2 JK觸發器
4.2.1 主從型JK觸發器
4.2.2 邊沿型JK觸發器
4.3 D觸發器
4.3.1 維持阻塞型D觸發器
4.3.2 集成D觸發器
4.4 其他類型的觸發器及觸發器使用常識
4.4.1 T和T觸發器
4.4.2 觸發器使用常識
本章小結
自測題與習題

第5章 時序邏輯電路
5.1 時序邏輯電路的分析方法
5.1.1 概述
5.1.2 時序電路的分析方法
5.1.3 時序電路分析舉例
5.2 計數器
5.2.1 同步計數器
5.2.2 異步計數器
5.3 寄存器
5.3.1 數碼寄存器
5.3.2 移位寄存器
本章小結
自測題與習題

第6章 脈衝産生與變換
6.1 集成555定時器
6.1.1 555定時器的分類及電路組成
6.1.2 555定時器的功能及主要參數
6.2 施密特觸發器
6.2.1 用555定時器構成的施密特觸發器
6.2.2 集成施密特觸發器
6.2.3 施密特觸發器的應用
6.3 單穩態觸發器
6.3.1 用555定時器構成的單穩態觸發器
6.3.2 集成單穩態觸發器
6.3.3 單穩態觸發器的應用
6.4 多諧振蕩器
6.4.1 用555定時器構成的多諧振蕩器
6.4.2 石英晶體多諧振蕩器
本章小結
自測題與習題

第7章 數/模轉換和模/數轉換
7.1 概述
7.2 數/模轉換器(DAC)
7.2.1 DAt:的基本工作原理
7.2.2 倒T型電阻網絡DAC
7.2.3 DAC主要技術指標
7.2.4 集成DAC舉例
7.3 模/數轉換器(ADC)
7.3.1 ADC的基本工作原理
7.3.2 逐次逼近型ADC
7.3.3 雙積分型ADC
7.3.4 並聯比較型ADC
7.3.5 ADC的主要技術指桶
7.3.6 集成ADC舉例
本章小結
自測題與習題

第8章 存儲器和可編程邏輯器件
8.1 概述
8.1.1 存儲器的基本概念
8.1.2 存儲器的分類
8.1.3 存儲器的主要性能指標
8.2 隻讀存儲器
8.2.1 固定隻讀存儲器(ROM)
8.2.2 可編程隻讀存儲器
8.2.3 ROM容量的擴展
8.3 隨機存取的存儲器(RAM)
8.4 可編程邏輯器件
8.4.1 可編程邏輯陣列(PLA)
8.4.2 可編程陣列邏輯(PAL)
8.4.3 通用陣列邏輯(GAL)
8.4.4 高密度可編程邏輯器件簡介
本章小結
自測題與習題
附錄A 常用數字集成電路一覽錶
附錄B Multisim7簡介
附錄C 數字電子電路仿真電路
部分思考題和習題參考答案
參考文獻

精彩書摘

  第1章 數字電路基礎
  內容導讀:數字電路主要是研究輸齣和輸入信號之間的對應邏輯關係,其分析的主要工具是邏輯代數。本章將介紹數製的基本知識,包括數製、數製之間的相互轉換及碼製;邏輯代數的基本運算和基本邏輯門電路;邏輯函數的公式化簡方法和卡諾圖化簡方法;基本門電路和復閤邏輯電路的邏輯功能。
  學習要求:瞭解數字信號及數字電路的特點;掌握數製與碼製的概念及不同進製的相互轉換;熟練地掌握基本邏輯關係、基本數字邏輯器件的功能和邏輯符號;掌握兩種邏輯函數化簡的方法;能夠熟練地應用卡諾圖化簡四變量及四變量以下的邏輯函數。
  1.1 概述
  1.1.1 數字電路概述
  1.數字信號和數字電路
  電信號可分為模擬信號和數字信號兩類。模擬信號指的是在時間上和幅度上都是連續變化的信號,如由溫度傳感器轉換來的反映溫度變化的電信號就是模擬信號。在模擬電子技術中所討論的電路其輸入、輸齣信號都是模擬信號。數字信號指的是在時間和幅度上都是離散的信號,如矩形波就是典型的數字信號。數字信號常用抽象齣來的二值信息l和0錶示,反映在電路上就是高電平和低電平兩種狀態,如圖1.1所示。
  電子電路分為數字電路和模擬電路兩類。模擬電路是用來處理模擬信號的電路,數字電路是用來處理數字信號的電路,數字電路主要完成數字信號的産生、變換、傳輸、存儲、控製、運算等。由於數字電路的輸齣信號和輸入信號之問都有一定的邏輯關係,因此,數字電路又稱為邏輯電路。

前言/序言

  本書是編者將多年的高職高專的教育教學經驗、積纍和收集的資料整理匯編,在北京師範大學齣版社的組織下,編寫而成的。
  本書是電子類、電氣類、信息類專業的數字電子技術課程教材。本書共8章,內容包括數字電路基礎、集成門電路、組閤邏輯電路、觸發器、時序邏輯電路、脈衝産生與變換、數/模轉換和模/數轉換、存儲器和可編程邏輯器件及附錄內容。
  本書在編寫的過程中,本著“精選內容,打好基礎,培養能力”的精神,以學生的“技術應用能力的培養”為主綫,力求講清基本概念,強化應用為重點,深入淺齣地闡述瞭數字集成電路的基本原理和邏輯功能,突齣瞭中規模集成電路的應用。精選有助於建立概念、掌握方法、聯係實際應用的例題和習題。各章目的要求明確。每章有自測題與習題,每節後有思考題,可供讀者練習和思考。書後附有答案,以便讀者自學。
  在本教材編寫時采取瞭以下措施:
  (1)每小節內容後附有針對本節內容的思考題,以便學生學完本節內容後,對本節內容進行思考,或課前先看思考題,帶著問題聽課,以激發學生的求知欲。每章後附有自測題與習題,自測題以便學生檢查學完本章內容後
  的掌握情況,習題作為作業題。
  (2)在保證基本概念、基本原理和基本分析及設計方法的前提下,簡化集成電路的結構和工作原理的講述,以集成電路的功能及應用為主。
  (3)在知識的講解上,力求用簡練的語言循序漸進、深入淺齣地讓學生理解並掌握基本概念,熟悉各種典型的單元電路。對基本單元電路著重介紹其外部特性和參數,以及使用方法和實際應用;對典型電路進行分析時,不做過於煩鎖的理論推導。
  (4)EDA技術在電子技術領域中得到瞭廣泛應用,本書附錄部分對目前比較先進的EDA軟件Multisim7作瞭簡介,並附有數字電子電路的仿真電路。
  本書適用於高職、高專和各類成人教育電子類、電氣類、信息類等專業學生使用,也可供從事電子技術的有關人員參考。
數字電子技術/教育部推薦教材·21世紀高職高專係列規劃教材 下載 mobi epub pdf txt 電子書
數字電子技術/教育部推薦教材·21世紀高職高專係列規劃教材 pdf epub mobi txt 電子書 下載
想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

數字電子技術/教育部推薦教材·21世紀高職高專係列規劃教材 pdf epub mobi txt 電子書 下載





相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 tushu.tinynews.org All Rights Reserved. 求知書站 版权所有