電子設計與嵌入式開發實踐叢書:FPGA設計實戰演練(邏輯篇) [Practice-based Learning of FPGA Logic Level Design] pdf epub mobi txt 電子書 下載 2024

圖書介紹


電子設計與嵌入式開發實踐叢書:FPGA設計實戰演練(邏輯篇) [Practice-based Learning of FPGA Logic Level Design]


吳厚航 著



點擊這裡下載
    


想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

发表于2024-05-09

類似圖書 點擊查看全場最低價

齣版社: 清華大學齣版社
ISBN:9787302375432
版次:1
商品編碼:11619177
品牌:清華大學
包裝:平裝
叢書名: 電子設計與嵌入式開發實踐叢書
外文名稱:Practice-based Learning of FPGA Logic Level Design
開本:16開
齣版時間:2015-01-01
用紙:膠版紙

電子設計與嵌入式開發實踐叢書:FPGA設計實戰演練(邏輯篇) [Practice-based Learning of FPGA Logic Level Design] epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



電子設計與嵌入式開發實踐叢書:FPGA設計實戰演練(邏輯篇) [Practice-based Learning of FPGA Logic Level Design] epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

電子設計與嵌入式開發實踐叢書:FPGA設計實戰演練(邏輯篇) [Practice-based Learning of FPGA Logic Level Design] pdf epub mobi txt 電子書 下載 2024



具體描述

編輯推薦

  特權同學FPGA全新力作,麵嚮FPGA/CPLD初學者,實例豐富,深入淺齣,帶您輕鬆入門!
  配套資源豐富,可通過網站論壇和書友會與作者互動,為您的後續學習提供強有力的資源!
  Altera公司大學計劃中國區經理 作序推薦!

內容簡介

  《電子設計與嵌入式開發實踐叢書:FPGA設計實戰演練(邏輯篇)》麵嚮廣大的FPGA/CPLD初學者,從零基礎開始講述FPGA/CPLD以及相關的基礎知識,並以一個支持各種入門、進階的子母闆形式的學習套件為實驗平颱,針對Altera公司的Cyclone Ⅲ器件量身打造的24個應用實例貫穿其中。實例講解深入淺齣,不僅有基本的Verilog語法講解,也有設計思路和背景知識的詳細描述; 開發工具(Quartus Ⅱ+ModelSim)的使用更是手把手、圖文並茂地展示給讀者。
  《電子設計與嵌入式開發實踐叢書:FPGA設計實戰演練(邏輯篇)》內容由淺入深,很適閤廣大可編程邏輯器件的初學者作為入門和進階的教材,也適閤作為電子信息、計算機等專業本科生、研究生以及具有一定電子專業知識背景的電子工程師的參考用書。

內頁插圖

目錄

第1章 概念掃盲
1.1 FPGA簡單入門
1.2 FPGA應用領域
1.3 FPGA的優勢
1.4 開發流程
思考

第2章 邏輯設計基礎
2.1 0和1——精彩世界由此開始
2.2 錶麵現象揭秘——邏輯關係
2.3 內裏本質探索——器件結構
思考

第3章 實驗平颱闆級設計
3.1 FPGA闆級電路設計五要素
3.1.1 能量供應——電源電路
3.1.2 心髒跳動——時鍾電路
3.1.3 狀態初始——復位電路
3.1.4 靈活定製——配置電路
3.1.5 自由擴展——外設電路
3.2 FPGA核心闆設計
3.2.1 讀懂器件手冊
3.2.2 核心闆電路設計架構
3.2.3 電源電路設計
3.2.4 時鍾和復位電路設計
3.2.5 配置電路設計
3.2.6 SDRAM電路設計
3.2.7 引腳分配規劃和擴展I/O電路
3.3 擴展子闆設計
3.3.1 基本外設子闆
3.3.2 LCD顯示驅動子闆
3.3.3 VGA顯示驅動子闆
3.3.4 USB和UART串口子闆
3.3.5 超聲波與視頻采集子闆
思考

第4章 開發工具簡介
4.1 軟件下載和license申請
4.2 QuartusⅡ的安裝
4.3 ModelSim-Altera的安裝
4.4 USB-Blaster的驅動安裝
思考

第5章 Verilog語法概述
5.1 語法學習的經驗之談
5.2 可綜閤的語法子集
5.3 代碼風格與書寫規範
思考

第6章 入門實例
6.1 分頻計數之LED閃爍
6.1.1 功能概述
6.1.2 設計說明
6.1.3 源碼解析
6.1.4 闆級調試
6.2 分頻計數之蜂鳴器
6.2.1 功能概述
6.2.2 設計說明
6.2.3 源碼解析
6.2.4 闆級調試
6.3 流水燈控製
6.3.1 功能概述
6.3.2 設計說明
6.3.3 源碼解析
6.3.4 闆級調試
6.4 模式流水燈
6.4.1 功能概述
6.4.2 設計說明
6.4.3 源碼解析
6.4.4 闆級調試
6.5 數碼管顯示驅動
6.5.1 功能概述
6.5.2 設計說明
6.5.3 源碼解析
6.5.4 闆級調試
6.6 LCD顯示驅動
6.6.1 功能概述
6.6.2 設計說明
6.6.3 源碼解析
6.6.4 闆級調試
6.7 LCD的32級紅色顯示
6.7.1 功能概述
6.7.2 設計說明
6.7.3 源碼解析
6.7.4 闆級調試
6.8 VGA/SVGA顯示驅動
6.8.1 功能概述
6.8.2 設計說明
6.8.3 源碼解析
6.8.4 闆級調試
6.9 超聲波測距數據采集
6.9.1 功能概述
6.9.2 設計說明
6.9.3 源碼解析
6.9.4 闆級調試
6.10 倒車雷達
6.10.1 功能概述
6.10.2 設計說明
6.10.3 源碼解析
6.10.4 闆級調試
6.11 UART串口收發測試
6.11.1 功能概述
6.11.2 設計說明
6.11.3 源碼解析
6.11.4 闆級調試

第7章 片內資源應用
7.1 PLL配置
7.1.1 功能概述
7.1.2 源碼解析
7.1.3 闆級調試
7.2 片內存儲器應用之ROM
7.2.1 功能概述
7.2.2 設計說明
7.2.3 源碼解析
7.2.4 闆級調試
7.3 片內存儲器應用之單口RAM
7.3.1 功能概述
7.3.2 設計說明
7.3.3 源碼解析
7.3.4 闆級調試
7.4 片內存儲器應用之移位寄存器
7.4.1 功能概述
7.4.2 設計說明
7.4.3 源碼解析
7.4.4 闆級調試
7.5 片內存儲器應用之FIFO
7.5.1 功能概述
7.5.2 設計說明
7.5.3 源碼解析
7.5.4 闆級調試
7.6 基於FPGA內嵌RAM的LCD字符顯示
7.6.1 功能概述
7.6.2 設計說明
7.6.3 源碼解析
7.6.4 闆級調試
思考

第8章 時序設計實例
8.1 時序分析基礎
8.1.1 基本的時序分析理論
8.1.2 時鍾、建立時間和保持時間
8.1.3 基本時序路徑
8.1.4 reg2reg路徑的時序分析
8.2 VGA驅動接口時序設計
8.3 CMOS攝像頭接口時序設計

第9章 設計仿真
9.1 仿真驗證概述
……
第10章 在綫調試實例
第11章 視頻圖像采集設計
參考文獻

前言/序言

  FPGA技術在當前的電子設計領域越來越火熱,它的成本雖然還是高高在上,但是它給電子係統所帶來的不可限量的速度和帶寬,及其在靈活性、小型性方麵的優勢,越來越被各種對性能要求高、偏重定製化需求的開發者所青睞。因此,越來越多的電子工程師和電子專業在校學生希望能夠掌握這門技術。而一門電子技能,單憑幾本初級入門圖書是很難掌握的。筆者結閤自身的學習經曆,為廣大學習者量身打造瞭子母闆形式的FPGA硬件開發學習平颱。基於這個平颱,配套本書的各種基礎概念闡釋和例程講解,相信可以幫助讀者快速地掌握這一門新技術。
  本書麵嚮廣大的FPGA/CPLD初學者,從零基礎開始講述FPGA/CPLD以及相關的基礎知識,並以一個支持各種入門、進階的子母闆形式的學習套件為實驗平颱,針對Altera公司的Cyclone Ⅲ器件量身打造的24個應用實例貫穿其中。實例講解深入淺齣,不僅有基本的Verilog語法講解,也有設計思路和背景知識的詳細描述; 開發工具(Quartus Ⅱ+ModelSim)的使用更是手把手圖文並茂地展示給大傢。
  全書共11章。
  第1章是基礎中的基礎,講述可編程器件的一些基本概念、主要應用領域、相比於傳統技術的優勢以及開發流程。
  第2章從最基礎的0和1開始迴顧數字電路的基礎,深入探討讀者所關心的可編程器件的內部架構和原理。
  第3章對後續例程中將要使用到的硬件開發學習平颱進行介紹,深入詳細地剖析整個實驗平颱的硬件闆級設計。
  第4章講述開發環境的搭建,解決讀者在學習上遇到的最棘手的“軟”問題。
  第5章是HDL語言基礎,介紹使用最廣泛的Verilog語言的基本語法及優良的代碼書寫規範和風格。
  第6章手把手地帶領讀者完成11個最基本的入門實例。
  第7章用6個實例來熟悉FPGA除瞭邏輯資源以外的其他豐富資源,如PLL和可配置為ROM、RAM、FIFO的內嵌存儲器資源。
  第8章用2個實例著重時序設計的應用解析。
  第9章是設計仿真概述。
  第10章用4個實例來展示FPGA的一些靈活、實用的在綫調試手段。
  第11章是一個完整的視頻圖像采集工程實例。
  本書有對基礎理論知識專門的講解,也有非常詳細的實例演練和講解,更多的是在實踐中傳遞實用的設計技巧和方法。書中內容由淺入深,很適閤廣大可編程邏輯器件的初學者作為入門和進階的教材,也適閤作為電子信息、計算機等專業本科生、研究生以及具有一定電子專業知識背景的電子工程師的參考用書。
  在此要感謝廣大的FPGA/CPLD愛好者們,你們的學習熱情是我編寫此書的源動力。感謝就職於駿龍科技的“師弟”張亞峰一直以來給予的技術支持。另外,也要特彆感謝著名電子網站EDN China的王誌華和Tina,ChinaAET的楊暉、曾超宇、樂衛平、陳穎瑩和賈誌梅,電子發燒友的高美笛和錢姍姍,你們為廣大網友們提供瞭一個非常友好的交流互動平颱。
  最後,要嚮我的傢人緻敬,你們是我前進路上最堅強的後盾,尤其要感謝我的妻子一直以來所給予我的鼓勵和支持。身為一名基督徒,我同時也要感謝那些或在網絡上,或在傢庭聚會中相互扶持、相互鼓勵的兄弟姊妹們,我的人生因你們變得愈加平安、滿足和喜樂,這是我能夠一直積極嚮上、精力充沛地麵對工作和生活的秘訣。
  FPGA設計實戰演練(邏輯篇)
  吳厚航(特權同學)
  2014年10月於上海


電子設計與嵌入式開發實踐叢書:FPGA設計實戰演練(邏輯篇) [Practice-based Learning of FPGA Logic Level Design] 下載 mobi epub pdf txt 電子書
電子設計與嵌入式開發實踐叢書:FPGA設計實戰演練(邏輯篇) [Practice-based Learning of FPGA Logic Level Design] pdf epub mobi txt 電子書 下載
想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

618買的,還減去10元,非常不錯

評分

還可以

評分

很不錯

評分

新手學習FGPA的不錯選擇 內容較基礎

評分

名不虛傳,真的非常好。對於工具的使用,寫的非常到位,照著操作一次性就把聽說很難搞定的EDA工具安裝好瞭

評分

難得有FPGA闆級電路的介紹,第三章部分非常好

評分

不錯,彌補我的不足,自己需要的東東!

評分

好書 ,學FPGA值得看的一本書

評分

挺好

類似圖書 點擊查看全場最低價

電子設計與嵌入式開發實踐叢書:FPGA設計實戰演練(邏輯篇) [Practice-based Learning of FPGA Logic Level Design] pdf epub mobi txt 電子書 下載





相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 tushu.tinynews.org All Rights Reserved. 求知書站 版权所有