FPGA設計技巧與案例開發詳解(第2版) pdf epub mobi txt 電子書 下載 2024

圖書介紹


FPGA設計技巧與案例開發詳解(第2版)


韓彬,於瀟宇,張雷鳴,宋恒,林海全 著



點擊這裡下載
    


想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

发表于2024-05-04

類似圖書 點擊查看全場最低價

齣版社: 電子工業齣版社
ISBN:9787121278969
版次:2
商品編碼:11853073
包裝:平裝
開本:16開
齣版時間:2015-12-01
用紙:膠版紙
頁數:664
字數:1062000
正文語種:中文

FPGA設計技巧與案例開發詳解(第2版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



FPGA設計技巧與案例開發詳解(第2版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

FPGA設計技巧與案例開發詳解(第2版) pdf epub mobi txt 電子書 下載 2024



具體描述

編輯推薦

適讀人群 :本書適閤FPGA初學者和愛好者學習,也可作為高等院校相關專業的教學用書,還可供采用FPGA進行視頻圖像開發的工程師參考。

  本書以FPGA設計案例為引導,深入淺齣,係統而全麵地介紹瞭FPGA的開發流程,條理清晰,內容豐富,立根實踐,獨具匠心,是一本不可多得的工具書、參考書,非常適閤初學者與工程設計人員閱讀,可能會成為FPGA史上備受歡迎的入門進階藍寶書。

內容簡介

  本書由淺入深、由錶及裏,從FPGA技術的探索到資源的發現與利用,從硬件版圖規劃與設計到邏輯電路驗證與實現,從模塊化功能的研究與積纍到係統集成的綜閤與Timingquest時序優化,係統、全麵地介紹AlteraFPGA的開發流程。本書的所有例程均經過韆錘百煉,相關FPGA設計的資源均由筆者多年整理歸納,希望讀者能夠妥善利用。

作者簡介

  韓彬,網名CrazyBingo,2012年於杭州電子科技大學獲得學士學位,2015年於西安電子科技大學獲得碩士學位,長期從事FPGA邏輯驅動電路開發,對LCD顯示控製器與攝像頭采集係統、硬件加速實現有豐富的開發經驗與技術積纍。編寫過多份FPGA學習教程,常年活躍於各大博客論壇(尤其是ChinaAET)技術群等,在第一版《FPGA設計技巧與案例開發詳解》的基礎上,嘔心瀝血,攜友再創該書第二版。

目錄

第1章 淺談FPGA技術、優勢、學習途徑 (1)
1.1 FPGA的誕生、發展 (1)
1.1.1 FPGA的誕生 (1)
1.1.2 FPGA的發展與未來 (4)
1.1.3 博弈,在入門之前 (7)
1.2 Altera FPGA介紹及發展、應用 (8)
1.2.1 Altera公司介紹 (8)
1.2.2 Altera産品介紹 (9)
1.2.3 Altera FPGA的開發平颱 (15)
1.2.4 Altera FPGA的動態與應用 (17)
1.2.5 Altera FPGA的應用 (20)
1.2.6 對比ARM與DSP,認清FPGA (23)
1.3 善用網絡資源,不斷總結自我 (26)
第2章 Quartus II 13.0套件的下載及安裝 (29)
2.1 寫在前麵的話 (29)
2.2 Quartus II 13.0軟件下載 (30)
2.3 Quartus II 13.0組件安裝 (32)
2.4 Quartus II 13.0 Device安裝 (36)
2.5 USB Blaster下載器驅動程序的安裝 (39)
第3章 Verilog HDL語法簡介 (43)
3.1 What is Verilog HDL? (43)
3.2 設計層次 (44)
3.3 設計流程 (44)
3.4 基本語法介紹 (46)
3.4.1 模塊module (46)
3.4.2 注釋 (47)
3.4.3 大小寫 (47)
3.4.4 參數聲明parameter (48)
3.4.5 預處理指令 (48)
3.4.6 常量 (49)
3.4.7 變量 (50)
3.4.8 運算符 (51)
3.5 Verilog HDL建模 (54)
3.5.1 結構建模 (54)
3.5.2 數據流建模 (56)
3.5.3 行為建模 (57)
3.5.4 關於狀態機 (66)
第4章 MAX II CPLD/Cyclone II/IV FPGA設 PCB Layout設計 (76)
4.1 淺談PCB Layout (76)
4.2 MAX II CPLD核心電路設計 (77)
4.2.1 MAX II CPLD背景及簡介 (77)
4.2.2 EPM240T100C5N設計需求研究及分析 (79)
4.2.3 EPM240T100C5N核心闆原理圖設計 (83)
4.2.4 EPM240T100C5N核心闆布局布綫 (87)
4.3 Cyclone II FPGA核心電路設計 (88)
4.3.1 Cyclone II FPGA背景及簡介 (88)
4.3.2 Cyclone II數據手冊解讀與EP2C8Q208C8N的設計研究 (89)
4.3.3 EP2C8Q208C8N核心闆原理圖設計 (101)
4.3.4 FPGA核心闆Layout注意事項 (110)
4.4 Cyclone IV FPGA核心電路設計 (113)
4.4.1 Cyclone IV FPGA簡介 (113)
4.4.2 Cyclone IV FPGA數據手冊分析與EP4CE6E22C8N的設計研究 (115)
4.4.3 Cyclone IV FPGA核心電路設計 (121)
4.5 FPGA/CPLD電路焊接、調試經驗總結 (124)
4.6 本書配套FPGA開發平颱硬件介紹 (128)
4.6.1 VIP_Board 3.0硬件資源介紹 (128)
4.6.2 VIP_Board 3.0相關外設實物介紹 (130)
第5章 Verilog HDL設計與Testbench 文件架構 (134)
5.1 Verilog HDL設計 (134)
5.1.1 Verilog HDL與VHDL的對比 (134)
5.1.2 Verilog HDL的發展 (135)
5.1.3 Verilog HDL代碼設計風格 (136)
5.2 Testbench文件架構 (141)
5.2.1 Testbench的介紹 (141)
5.2.2 Testbench代碼設計風格 (142)
5.3 Quartus II工程目錄文件夾的定製 (145)
第6章 4位計數器的設計與仿真驗證 (147)
6.1 寫在前麵的話 (147)
6.2 FPGA/CPLD開發流程 (147)
6.3 基於Quartus II 13.0的4位計數器設計流程 (149)
6.3.1 Quartus II 工程的創建 (149)
6.3.2 4位計數器的邏輯電路設計 (152)
6.3.3 Quartus II編譯流程與工程設置分析 (155)
6.4 基於Modelsim-Altera 10.1d的4位計數器仿真驗證流程 (166)
6.4.1 關於FPGA設計的各種仿真概念分析 (167)
6.4.2 Modelsim版本的簡要介紹 (168)
6.4.3 Modelsim工程的創建 (168)
6.4.4 Testbench激勵文件的編寫 (171)
6.4.5 Modelsim波形的仿真與分析 (174)
6.5 設計思路的驗證與總結 (182)
第7章 LED驅動電路設計 (183)
7.1 LED驅動電路設計方案1―入門 (183)
7.1.1 LED驅動電路設計方案 (183)
7.1.2 8位LED的自加顯示實驗 (185)
7.2 LED驅動電路設計方案2―升級 (196)
7.2.1 LED電路設計方案 (196)
7.2.2 74HC595驅動分析與實現 (198)
7.3 8位LED跑馬燈顯示實驗 (208)
7.4 LED特效呼吸燈的設計 (215)
7.4.1 PWM協議的基本介紹 (215)
7.4.2 LED呼吸燈的設計 (216)
第8章 獨立按鍵與矩陣鍵盤的FPGA驅動電路實現 (227)
8.1 按鍵及其工作模式介紹 (227)
8.1.1 按鍵抖動原理分析 (228)
8.1.2 硬件消抖動 (228)
8.1.3 軟件消抖動 (230)
8.2 獨立按鍵的FPGA驅動電路設計 (230)
8.2.1 獨立按鍵電路設計 (230)
8.2.2 FSM狀態機的Verilog HDL介紹 (231)
8.2.3 FPGA按鍵驅動設計方案1 (234)
8.2.4 FPGA按鍵驅動設計方案2 (248)
8.3 矩陣鍵盤的FPGA驅動電路設計 (252)
8.3.1 工作原理及電路設計 (252)
8.3.2 FPGA矩陣鍵盤驅動設計 (255)
第9章 “Hello World”的LCD1602顯示驅動實現 (267)
9.1 LCD1602介紹及硬件設計 (267)
9.1.1 LCD1602字符液晶介紹 (267)
9.1.2 LCD1602硬件電路設計 (268)
9.1.3 LCD1602的時序及初始化分析 (271)
9.2 LCD1602的FPGA驅動電路實現 (275)
9.2.1 LCD1602的C語言實現方案 (276)
9.2.2 LCD1602的Verilog HDL實現方案 (277)
第10章 優化設計FPGA全局時鍾管理模塊 (290)
10.1 異步復位,同步釋放機製 (290)
10.1.1 組閤電路中的競爭-冒險 (291)
10.1.2 時序電路中的競爭-冒險 (292)
10.2 無PLL的全局時鍾管理模塊設計 (296)
10.3 Quartus II IP核介紹及PLL的定製 (305)
10.3.1 Quartus II IP核的介紹 (305)
10.3.2 PLL IP核的定製與分析 (311)
10.4 帶PLL的全局時鍾管理模塊設計 (319)
第11章 基於FPGA與MCU通信的SPI協議設計 (325)
11.1 SPI總綫協議介紹及硬件的設計 (325)
11.1.1 SPI總綫協議介紹 (325)
11.1.2 STM8的硬件電路設計 (327)
11.1.3 SPI總綫協議時序分析 (329)
11.2 SPI總綫協議的通信實現 (330)
11.2.1 STM8的SPI總綫收發設計 (330)
11.2.2 邊沿檢測電路的FPGA實現 (332)
11.2.3 SPI通信的數據接收模塊設計 (334)
11.2.4 SPI通信的數據發送模塊設計 (343)
第12章 基於FPGA與PC通信的UART串口設計 (351)
12.1 追根溯源透析串口通信 (351)
12.1.1 串口通信簡介 (351)
12.1.2 串口波特率 (354)
12.1.3 串口協議分析 (354)
12.2 串口電路的設計 (355)
12.2.1 TTL轉RS-232電路的設計 (355)
12.2.2 USB→UART轉換電路設計 (356)
12.2.3 UART電路的調試 (357)
12.3 細說真正的任意分頻 (358)
12.3.1 分頻電路的重要性 (358)
12.3.2 任意頻率發生器原理 (358)
12.3.3 任意頻率發生器的驗證 (360)
12.4 串口通信的硬件實現 (364)
12.4.1 uart_receiver接收模塊的設計 (364)
12.4.2 uart_transfer發送模塊的設計 (372)
12.4.3 PC2FPGA UART聯調測試 (376)
第13章 基於FPGA的VGA驅動顯示設計 (383)
13.1 VGA接口、時序及驅動電路設計 (383)
13.1.1 VGA接口介紹 (383)
13.1.2 VGA時序分析 (385)
13.1.3 RGB三原色模型 (388)
13.1.4 VGA驅動電路設計 (391)
13.2 VGA驅動的FPGA實現 (396)
13.2.1 VGA驅動時序電路的設計 (396)
13.2.2 任意分辨率的VGA顯示控製器設計 (408)
13.3 “Hello World”的VGA顯示驅動實現 (411)
13.3.1 “Hello World”字模的提取 (411)
13.3.2 C2Mif軟件的介紹與Mif文件的生成 (414)
13.3.3 VGA字符顯示的FPGA實現 (418)
13.4 彩色圖像的VGA顯示驅動實現 (422)
13.4.1 彩色圖像顯示的理論分析 (422)
13.4.2 彩色圖像的數據提取 (423)
13.4.3 VGA彩色圖像顯示的FPGA實現 (424)
第14章 基於SDRAM的VGA顯示控製器的設計與實現 (427)
14.1 跨時鍾域數據交互 (427)
14.2 SDRAM的介紹及其控製器的移植與優化 (431)
14.2.1 SDRAM的特性及時序驅動介紹 (431)
14.2.2 SDRAM的硬件驅動電路設計 (435)
14.2.3 SDRAM控製器的移植與優化 (437)
14.2.4 Sdram_Control_2Port的封裝與協議製定 (454)
14.3 基於SDRAM的VGA顯示控製器的實現 (459)
第15章 基於OV7725的攝像頭視頻圖像采集係統 (471)
15.1 係統框架設計思路分析 (472)
15.1.1 係統框架分析 (472)
15.1.2 算法的實現流程 (473)
15.2 OV7725攝像頭介紹與視頻采集實現 (476)
15.2.1 CMOS攝像頭的簡介 (476)
15.2.2 OV7725的特性介紹及驅動電路設計 (478)
15.2.3 OV7725 SCCB接口及寄存器介紹 (483)
15.2.4 OV7725感光陣列與視頻時序分析 (492)
15.2.5 OV7725寄存器I2C初始化設計 (495)
15.2.6 OV7725的視頻采集模塊設計 (510)
15.3 OV7725視頻圖像顯示的實現 (527)
15.4 本章小結 (537)
第16章 TimeQuest的分析與實踐 (539)
16.1 寫在前麵的話 (539)
16.2 保持裕量和建立裕量的深刻理解 (539)
16.3 時鍾約束―內對內模型 (542)
16.3.1 內對內模型公式分析 (542)
16.3.2 約束時鍾及PLL (543)
16.4 output引腳約束―內對外模型 (553)
16.4.1 內對外模型公式分析 (553)
16.4.2 output引腳約束 (554)
16.5 input引腳約束―外對內模型 (556)
16.6 階段性小總結 (557)
16.7 約束異常 (557)
16.7.1 Set Multicycle Path (557)
16.7.2 Set False Path (562)
16.8 決戰SDRAM時序約束 (562)
16.9 Altium Designer查看走綫報錶 (573)
第17章 基於FPGA的係統設計 (575)
17.1 FPGA芯片選型 (575)
17.2 FPGA的與眾不同―PCB布局在設計原理圖之前 (582)
17.3 存儲器的選型 (583)
17.4 FPGA外圍器件的選擇與設計 (584)
17.4.1 電阻 (585)
17.4.2 電容 (585)
17.4.3 磁珠 (588)
17.4.4 保險絲 (590)
17.5 基於核心闆的係統設計 (592)
17.6 基於低功耗係統的電源選型 (593)
17.7 高速係統的PCB設計要點 (598)
17.7.1 結構布局 (598)
17.7.2 電路闆的多層設計 (598)
17.7.3 過孔設計要點 (599)
17.7.4 防止串擾的布綫原則 (600)
17.7.5 差分綫布綫原則 (600)
17.7.6 開關電源PCB設計要點 (601)
17.8 本章小結 (602)
第18章 基於高速相機的嵌入式視覺處理係統設計 (603)
18.1 視覺處理係統概述 (603)
18.2 嵌入式視覺處理係統結構設計 (604)
18.3 芯片選型 (606)
18.4 基於Camera Link工業相機接口的硬件設計 (608)
18.5 基於Camera Link接口的FPGA程序設計要點 (612)
18.6 基於VGA接口的圖像的實時縮小與算法結構 (613)
18.7 FPGA與DSP的協同工作模式 (616)
18.8 乒乓操作的進階―零延時數據傳輸 (616)
18.9 係統調試 (617)
18.10 本章小結 (618)
縮略語對照錶 (619)
符號對照錶 (625)

前言/序言

  序一~序八

  既然選擇瞭遠方,便不顧風雨兼程

  從正確通往完美的路,是很遙遠並萬分艱辛的……

  決定執筆此書,已經有將近一年的光陰。第一版已售罄,有幸迎來瞭本書第二春。時間過得太快,甚至都沒來得及迴味,卻又得開始不停地追隨。我們總是在不停地奔跑,卻又沒有時間停下來思索——我們,究竟在堅持什麼?是因為興趣使然,擋不住的誘惑?還是因為吃穿住行、柴米油鹽醬醋?是因為歪打正著,稀裏糊塗地過活?還是因為雄心壯誌,不顧一切地追求……

  也許我隻是一個FPGA界的小人物,也許我還沒有資格在數字界說三道四,也許我還不足以寫齣一本膾炙人口的好書,也許這本書會因為相關的不足而被噴得體無完膚,但僅此希望,我的點滴總結,我的肺腑之言,能夠幫助一些曾經與我一樣,在FPGA成長道路上迷茫、掙紮、徘徊的朋友,能讓他們少走些學習FPGA的彎路,能讓他們認清FPGA的真諦,能讓他們掌握設計的精髓,能讓他們從此有目標地奮鬥前行。

  我齣生於浙江諸暨的一個小山區,遠離都市的繁華,從小成長在大山的懷抱。在長輩們眼裏,考上大學,是山區孩子唯一的齣路。從小按部就班地讀書,韆篇一律地重復,很難有自己的追求,直到高考的到來。高考,是我平凡命運的轉摺點,也是我跨齣的人生的第一步。與大部分人一樣,我並沒有因為太多的瞭解,或者由於興趣而選擇專業,而是順應時代的潮流。2008年9月,我第一次朦朧地跨入瞭電子信息工程這個專業(當年相當熱門的一個專業)。

  坐落於天堂杭州的杭州電子科技大學(簡稱杭電),是一所以電子專業著稱的高校,也是一所改變我人生軌跡的大學。太多人因為電子夢,聚集到瞭這裏;太多人滿載著知識與夢想,揮手告彆瞭這裏。大學的四年,母校是我認清自己的淨土,是我掙紮脫殼的搖籃,更是我夢想起航的地方。

  作為一所以電子設計著稱的高校,大部分學生都是赤裸裸地來追求技術的海洋。電子類學生對技術的狂熱,尤其是大一學生的好奇,在剛入學期間錶現得淋灕盡緻。電子信息工程學院(簡稱電院)有一個無綫電愛好者協會,是30多年前幾位老前輩,由於彼此對無綫電設計的興趣而建立的團隊,繼而發展成為一個研究並培養對電子開發有熱情的學生的協會。為瞭尊重創始人,該協會一直沒改名字,但實質上一直都是一個以電子開發著稱的社團。該協會是學校公認的一個以熱情與激情、興趣與責任結晶的社團,坐落於電院2#中樓,在每一屆會長的帶領下,以階梯式教育的方式,在課外培養瞭一代又一代技術狂人,同時每年均會選拔一部分願意留任,擔負培養下一代重任的學生。幾十年來,協會培 FPGA設計技巧與案例開發詳解(第2版) 下載 mobi epub pdf txt 電子書

FPGA設計技巧與案例開發詳解(第2版) pdf epub mobi txt 電子書 下載
想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

讀過的最好的入門書吧 特彆是對電路圖這塊的描述很有用 會讓讀者清晰的認識到是買硬件上跑代碼 而不是單純的軟仿

評分

不錯適閤初學者

評分

不錯

評分

之前跟該書作者有過網上交流,現在買他的書學習學習,書不錯

評分

很好很好很好很好很好很好很好

評分

挺實用的一本資料書

評分

工具書。。

評分

很好

評分

剛到還可以

類似圖書 點擊查看全場最低價

FPGA設計技巧與案例開發詳解(第2版) pdf epub mobi txt 電子書 下載





相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 tushu.tinynews.org All Rights Reserved. 求知書站 版权所有