ad holder

低功耗CMOS電路設計--邏輯設計與CAD工具 9787030315687 pdf epub mobi txt 電子書 下載 2024

圖書介紹


低功耗CMOS電路設計--邏輯設計與CAD工具 9787030315687


[瑞士] Christian Piguet,陳力穎 著



點擊這裡下載
    


想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

发表于2024-04-28

類似圖書 點擊查看全場最低價

店鋪: 小馬奔騰圖書專營店
齣版社: 科學齣版社
ISBN:9787030315687
商品編碼:11049270024
包裝:平裝
齣版時間:2011-07-01

低功耗CMOS電路設計--邏輯設計與CAD工具 9787030315687 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



低功耗CMOS電路設計--邏輯設計與CAD工具 9787030315687 epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

低功耗CMOS電路設計--邏輯設計與CAD工具 9787030315687 pdf epub mobi txt 電子書 下載 2024



具體描述

基本信息

書名:低功耗CMOS電路設計--邏輯設計與CAD工具

:65.00元

作者:(瑞士)Christian Piguet,陳力穎

齣版社:科學齣版社

齣版日期:2011-07-01

ISBN:9787030315687

字數:528000

頁碼:397

版次:1

裝幀:平裝

開本:16開

商品重量:0.663kg

編輯推薦


《低功耗CMOS電路設計》著重敘述低功耗電路設計,包括工藝與器件、邏輯電路以及CAD設計工具三個方麵的內容。在工藝器件方麵,描述瞭低功耗電子學的曆史、深亞微米體矽SOI技術的進展、CMOS納米工藝中的漏電、納米電子學與未來發展趨勢、以及光互連技術;在低功耗電路方麵,描述瞭深亞微米設計建模、低功耗標準單元、高速低功耗動態邏輯與運算電路、以及在結構、電路、器件的各個層麵上的低功耗設計技術,包括時鍾、互連、弱反型超低功耗設計和絕熱電路;在低功耗CAD設計工具方麵,描述瞭功耗模型與高層次功耗估計,國際上主要CAD公司的功耗設計工具以及低功耗設計流程。本書由(瑞士)christianPiguet主編。

內容提要


《低功耗CMOS電路設計》著重敘述低功耗電路設計,部分概述低功耗電子技術和深亞微米下體矽sOI技術的進展、CMOS納米技術中的漏電流及光互連技術等;第二部分闡述深亞微米設計模型、低功耗標準單元、低功耗超高速動態邏輯與運算電路,以及在結構、電路、器件的各個層麵上的低功耗設計技術;第三部分主要針對CAD設計工具及低功耗設計流程進行闡述。本書的內容來自低功耗集成電路設計領域三十多位國際知名學者和專傢的具體實踐,包括學術界與工業界多年來的研究設計成果與經驗,所介紹的技術可以直接應用於産品設計。
《低功耗CMOS電路設計》可以作為微電子、電子科學與技術、集成電路等領域的研發、設計人員及工科院校相關專業師生的實用參考資料。本書由(瑞士)christianPiguet主編。

目錄


第1部分 概述
第1章 低功耗電子技術的發展曆史
1.1 引言
1.2 早期的計算機
1.3 晶體管和集成電路
1.4 低功耗消費類電子産品
1.5 功耗的快速增加
1.6 結論
參考文獻
第2章 深亞微米下體矽技術與SOI技術的進展
2.1 引言
2.2 ITRS概述
2.3 晶體管的飽和電流和亞閾值電流
2.4 柵和其他隧道電流
2.5 晶體管電氣參數的統計離差
2.6 柵氧化層物理厚度和電氣厚度
2.7 晶體管的新結構
2.8 結論
參考文獻
第3章 CMOS納米技術中的漏電流
3.1 引言
3.2 MOSFET器件的ILEAK構成
3.3 尺寸縮放
3.4 電路級
3.5 結論
參考文獻
第4章 微電子學、納電子學及電子學的未來
4.1 引 言
4.2 作為納電子器件的矽MOSFET
4.3 矽MOSFET的限
4.4 矽MOSFET的應用極限
4.5 矽MOSFET以外的晶體管
4.6 FET以外的晶體管
4.7 從微電子學到納電子學
4.8 結論
4.9 緻謝
參考文獻
第5章 片上光互連的高級研究
5.1 互連問題
5.2 自頂嚮下的互連設計
5.3 信號通路中的無源光子器件
5.4 用於信號轉換的有源器件
5.5 轉換電路
5.6 鍵閤問題
5.7 互連性能(光學係統與電學係統的比較)
5.8 研究方嚮
5.9 緻 謝
參考文獻
第2部分 低功耗電路
第6章 深亞微米工藝設計模型
6.1 引 言
6.2 電流模型
6.3 描述性能所使用單位的定義
6.4 在標準單元庫中的應用
6.5 在低功耗設計中的應用
6.6 結 論
參考文獻
第7章 邏輯電路和標準單元
7.1 引言
7.2 邏輯族
7.3 低功耗和標準單元庫
7.4 對於特定應用的邏輯類型
7.5 結論
參考文獻
第8章 低功耗超高速動態邏輯電路
8.1 引 言
8.2 單相時鍾鎖存器和觸發器
8.3 高通量CMOS電路技術
8.4 快速有效的CMOS功能電路
8.5 動態邏輯的前景
8.6 結 論
參考文獻
第9章 低功耗算法運算器
9.1 引 言
9.2 加 法
9.3 乘 法
9.4 其他運算器、數字係統和限製
參考文獻
第10章 降低動態功耗的電路設計方法
10.1 引 言
10.2 動態功耗的形成
10.3 電路結構的平行化
10.4 改變固定電壓降低功耗技術
10.5 不改變電路主體設計技術方法來降低電路的功耗
10.6 改變電路主體結構的設計技術
10.7 結 論
參考文獻
第11章 低功耗設計中的硬件描述語言
11.1 引 言
11.2 基礎知識
11.3 減少毛刺
11.4 時鍾門控技術
11.5 有限狀態機
11.6 數據通路
11.7 總綫編碼
11.8 結 論
11.9 緻 謝
參考文獻
第12章 工作時鍾頻率在數GHZ下的係統設計
12.1 引言
12.2 連續係統中的時鍾設計注意事項
12.3 異步係統
12.4 全局異步一局部同步係統
12.5 結 論
參考文獻
第13章 減小漏電流的電路設計方法
13.1 引言
13.2 漏電流的組成
13.3 邏輯電路設計中減小漏電流的技術
13.4 時序設計技術
13.5 運行狀態下閑置漏電流減小技術
13.6 運行狀態時漏電流減小技術
13.7 減小高速緩存中的漏電流技術
參考文獻
第14章 SoC的低功耗和低電壓通信
14.1 引 言
14.2 互連綫的基礎理論
14.3 與互連綫相關的功耗
14.4 減小互連綫功耗的辦法
14.5 光互連綫的分析
14.6 結論
參考文獻
第15章 絕熱與時鍾供電電路
15.1 引言
15.2 絕熱充電技術的原理
15.3 實現問題
15.4 結論
參考文獻
第16章 用於基本低功耗邏輯的弱反型
16.1 引言
16.2 MOS弱反型區模型和假設
16.3 靜態MOS反相器
16.4 CMOS反相器的動態特性
16.5 標準傳輸下反相器的特性
16.6 進入中等反型區與強反型區的效應
16.7 邏輯門和數值實例擴展
16.8 實際考慮和條件限製
16.9 結論
參考文獻
第17章 低電壓下數字電路的魯棒性
17.1 引言
17.2 信號完整性
17.3 可靠性
17.4 結論
17.5 緻謝
參考文獻
第3部分 低功耗設計的CAD工具
第18章 高級功耗估計與分析
18.1 引言
18.2 低功耗應用的通用設計流程
18.3 係統級功耗分析
18.4 算法級功耗估計與分析
18.5 ORINOCO:一種算法級功耗估計工具
18.6 結論
參考文獻
第19章 高級功耗估計的功耗宏模型
19.1 引言
19.2 RTL功耗建模
19.3 RTL功耗宏建模和估計
19.4 現實設置的RTL功耗估計
19.5 結論
19.6 緻謝
參考文獻
第20章 Synopsys低功耗設計流程
20.1 引 言
20.2 時鍾門控
20.3 寄存器級的自動時鍾門控
20.4 操作數隔離
20.5 邏輯優化
20.6 泄漏控製一一閾值管理
20.7 電壓縮放
20.8 建模基礎
20.9 分析流程
20.10 結論
參考文獻
第21章 Magma低功耗流程
21.1 引言
21.2 功耗
21.3 功耗分析
21.4 功耗優化
21.5 供電軌分析
21.6 電源網絡綜閤
21.7 結論
第22章 功耗敏感設計的時序設計流程
22.1 引言
22.2 設計流程概述
22.3 用於功耗敏感設計的時序工具
22.4 設計實例
22.5 結論
參考文獻

作者介紹


ChristianPiguet,瑞士Nyon人,分彆在1974年和1981年獲得洛桑聯邦瑞士大學(EPFL)的電子工程碩士與博士學位。Piguet博士於1974年加入瞭瑞士納沙泰爾Centre Electronique HorlogerS.A.實驗室。主要研究鍾錶業的CMOS數字集成電路和嵌入式低功耗微處理器,以及基於門陣列方法的CAD工具。他目前是納沙泰爾CSEMCentre Suisse d'Electronique et de MicrotechniqueS.A.實驗室超低功耗部門的負責人,並參與低功耗和高速CMOS集成電路的設計與管理。他的主要興趣包括低功耗微處理器與DSP、低功耗標準單元庫、門控時鍾和低功耗技術及異步設計。

文摘


序言



低功耗CMOS電路設計--邏輯設計與CAD工具 9787030315687 下載 mobi epub pdf txt 電子書
低功耗CMOS電路設計--邏輯設計與CAD工具 9787030315687 pdf epub mobi txt 電子書 下載
想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

低功耗CMOS電路設計--邏輯設計與CAD工具 9787030315687 pdf epub mobi txt 電子書 下載





相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 tushu.tinynews.org All Rights Reserved. 求知書站 版权所有