邏輯與計算機設計基礎(原書第5版) pdf epub mobi txt 電子書 下載 2024

圖書介紹


邏輯與計算機設計基礎(原書第5版)


M.莫裏斯·馬諾 著,鄺繼順 譯



點擊這裡下載
    


想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

发表于2024-05-05

類似圖書 點擊查看全場最低價

齣版社: 機械工業齣版社
ISBN:9787111570103
版次:5
商品編碼:12128657
品牌:機工齣版
包裝:平裝
叢書名: 計算機科學叢書
開本:16開
齣版時間:2017-07-01
用紙:膠版紙
頁數:481

邏輯與計算機設計基礎(原書第5版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

相關圖書



邏輯與計算機設計基礎(原書第5版) epub 下載 mobi 下載 pdf 下載 txt 電子書 下載 2024

邏輯與計算機設計基礎(原書第5版) pdf epub mobi txt 電子書 下載 2024



具體描述

內容簡介

  ?本書以通用計算機為綫索,由淺入深地講解瞭邏輯設計、數字係統設計和計算機設計。其中,第1~4章為邏輯設計,包括數字係統與信息、硬件描述語言和組閤邏輯電路、組閤邏輯設計以及時序電路;第5~7章為數字係統設計,包括數字硬件實現技術、測試與驗證對設計成本的影響、寄存器與寄存器傳輸以及存儲器基礎;第8~12章為計算機設計,包括計算機設計基礎、指令集結構、RISC與CISC中央處理器、輸入輸齣與通道,以及存儲係統。書中附有60多個主要來自現代日常生活中産品設計的真實例子和問題,可以激發讀者的學習興趣。本書強調硬件描述語言在教學中的重要性,不僅可以作為計算機科學、計算機工程、電子技術、機電一體化等專業學生學習硬件的一本教材,也可以作為弱電類工程師和計算機科學工作者的理想參考書籍。

目錄

LogicandComputerDesignFundamentals

齣版者的話

譯者序

前言

第1章數字係統與信息1

1.1信息錶示2

1.1.1數字計算機3

1.1.2其他計算機4

1.1.3通用計算機的進一步說明7

1.2計算機係統設計的抽象層次8

1.3數製10

1.3.1二進製11

1.3.2八進製與十六進製12

1.3.3數字範圍13

1.4算術運算14

1.5十進製編碼17

1.6字符編碼18

1.6.1ASCII字符編碼18

1.6.2校驗位21

1.7格雷碼22

1.8本章小結23

參考文獻24

習題24

第2章組閤邏輯電路27

2.1二值邏輯和邏輯門27

2.1.1二值邏輯28

2.1.2邏輯門29

2.1.3用硬件描述語言錶示邏輯門32

2.2布爾代數33

2.2.1布爾代數的基本恒等式34

2.2.2代數運算36

2.2.3反函數38

2.3標準形式39

2.3.1最小項和最大項39

2.3.2積之和42

2.3.3和之積43

2.4兩級電路的優化43

2.4.1成本標準44

2.4.2卡諾圖結構45

2.4.3二變量卡諾圖47

2.4.4三變量卡諾圖48

2.5卡諾圖的化簡50

2.5.1質主蘊涵項50

2.5.2非質主蘊涵項51

2.5.3和之積優化52

2.5.4無關最小項53

2.6異或操作和異或門55

2.7門的傳播延遲56

2.8硬件描述語言簡介58

2.9硬件描述語言—VHDL60

2.10硬件描述語言—Verilog67

2.11本章小結72

參考文獻72

習題72

第3章組閤邏輯電路的設計79

3.1開始分層設計79

3.2工藝映射82

3.3組閤功能模塊85

3.4基本邏輯函數85

3.4.1定值、傳遞和取反85

3.4.2多位函數86

3.4.3使能87

3.5譯碼89

3.5.1譯碼器和使能結閤92

3.5.2基於譯碼器的組閤電路95

3.6編碼96

3.6.1優先編碼器96

3.6.2編碼器的擴展98

3.7選擇98

3.7.1多路復用器98

3.7.2基於多路復用器的組閤電路105

3.8迭代組閤電路109

3.9二進製加法器110

3.9.1半加器110

3.9.2全加器110

3.9.3二進製行波進位加法器111

3.10二進製減法112

3.10.1補碼114

3.10.2采用補碼的二進製減法115

3.11二進製加減法器115

3.11.1有符號的二進製數116

3.11.2有符號二進製數的加法與減法118

3.11.3溢齣119

3.11.4加法器的HDL模型121

3.11.5行為描述122

3.12其他的算術功能模塊124

3.12.1壓縮125

3.12.2遞增126

3.12.3遞減127

3.12.4常數乘法127

3.12.5常數除法127

3.12.6零填充與符號擴展127

3.13本章小結128

參考文獻129

習題129

第4章時序電路138

4.1時序電路的定義138

4.2鎖存器140

4.2.1SR和SR鎖存器140

4.2.2D鎖存器143

4.3觸發器143

4.3.1邊沿觸發式觸發器144

4.3.2標準圖形符號145

4.3.3直接輸入147

4.4時序電路分析148

4.4.1輸入方程148

4.4.2狀態錶148

4.4.3狀態圖150

4.4.4時序電路模擬152

4.5時序電路設計153

4.5.1設計步驟154

4.5.2構建狀態圖和狀態錶154

4.5.3狀態賦值160

4.5.4使用D觸發器的設計161

4.5.5無效狀態的設計162

4.5.6驗證164

4.6狀態機圖及其應用166

4.6.1狀態機圖模型167

4.6.2對輸入條件的約束168

4.6.3使用狀態機圖的設計應用170

4.7時序電路的HDL描述—VHDL177

4.8時序電路的HDL描述—Verilog184

4.9觸發器定時191

4.10時序電路定時192

4.11異步交互194

4.12同步和亞穩態195

4.13同步電路陷阱198

本章小結199

參考文獻200

習題200

第5章數字硬件實現210

5.1設計空間210

5.1.1集成電路210

5.1.2CMOS電路工藝211

5.1.3工藝參數213

5.2可編程實現技術215

5.2.1隻讀存儲器216

5.2.2可編程邏輯陣列217

5.2.3可編程陣列邏輯器件219

5.2.4現場可編程門陣列221

5.3本章小結224

參考文獻224

習題225

第6章寄存器與寄存器傳輸227

6.1寄存器與加載使能227

6.2寄存器傳輸230

6.3寄存器傳輸操作231

6.4VHDL和Verilog中的寄存器傳輸233

6.5微操作233

6.5.1算術微操作234

6.5.2邏輯微操作235

6.5.3移位微操作236

6.6對單個寄存器的微操作237

6.6.1基於多路復用器的傳輸237

6.6.2移位寄存器239

6.6.3行波計數器242

6.6.4同步二進製計數器244

6.6.5其他類型計數器247

6.7寄存器單元設計249

6.8基於多路復用器和總綫的多寄存器傳輸253

6.8.1高阻態輸齣254

6.8.2三態總綫255

6.9串行傳輸及其微操作256

6.10寄存器傳輸控製259

6.11移位寄存器和計數器的HDL描述—VHDL272

6.12移位寄存器和計數器的HDL描述—Verilog273

6.13微程序控製275

6.14本章小結276

參考文獻276

習題277

第7章存儲器基礎283

7.1存儲器定義283

7.2隨機訪問存儲器283

7.2.1讀寫操作284

7.2.2定時波形285

7.2.3存儲器特徵286

7.3SRAM集成電路287

7.4SRAM芯片陣列292

7.5DRAM芯片294

7.5.1DRAM單元294

7.5.2DRAM位片296

7.6DRAM分類29


前言/序言

  前言LogicandComputerDesignFundamentals本書的目的是為廣大讀者提供學習邏輯設計、數字係統設計和計算機設計的基礎知識。本書第5版突齣瞭課程內容方麵的最新發展。從1997年的第1版開始,作者就不斷對其進行修改,提供一種獨一無二的將邏輯設計與計算機設計原理結閤在一起的方法,並特彆強調硬件。過去幾年,教材一直緊跟行業的發展趨勢,新增加瞭一些內容(如硬件描述語言),刪除或者弱化瞭某些不太重要的內容,修改瞭某些內容以反映計算機技術和計算機輔助設計所發生的變化。

  新版的變化第5版反映瞭相關技術與設計實踐方麵的一些變化,與過去相比,要求計算機係統設計者在一個更高的層次上進行設計抽象並管理更大範圍的復雜性問題。在不同抽象層次進行邏輯、數字係統和計算機設計所涉及的內容已經不能同日而語,本書新版的目的就是要在計算機係統設計特彆是在邏輯層次上更為有效地彌補現在的教學狀況與工業實現之間的鴻溝。同時,新版仍然保持著過去的章節組織,方便教師針對電氣與計算機工程和計算機科學專業的學生根據需要選講部分內容。新版的變化包括:

  第1章的更新包括對計算機係統抽象層次的討論,以及它們的作用,還簡要介紹瞭數字設計的過程。為加強國際化,第1章還有一些關於字符編碼的新內容。

  本書在第2章就開始介紹硬件描述語言(HDL),比以前的版本更早。對於涉及組閤和時序邏輯設計的章節,都會給齣電路的HDL描述以及邏輯框圖與狀態圖,從而錶明在當代數字係統設計實踐中HDL變得日益重要。關於傳播延遲(數字係統基本的一階設計約束)的內容已經移到瞭第2章。

  第3章結閤原來第3章中有關功能模塊的內容和原來第4章中有關算術電路模塊的內容,展現一組常見的組閤邏輯功能模塊,這些功能模塊的HDL模型在本章隨處可見。第3章介紹分層設計的概念。

  時序電路齣現在第4章。這一章包括原來第5章中對設計過程的描述和原來第6章中的時序電路定時、輸入同步和亞穩態的相關知識。有關JK觸發器和T觸發器的描述放到瞭教材的配套網站上。

  第5章討論一些與數字硬件實現相關的話題,包括互補金屬氧化物(CMOS)門和可編程邏輯的設計。除包含原來第6章中的大部分內容外,現在的第5章還簡要地介紹瞭測試與驗證對設計成本的影響。由於使用本教材的很多課程都用現場可編程門陣列(FPGA)來進行實驗練習,所以我們對FPGA的敘述進行瞭擴充,通過一個簡單的、基本的FPGA結構來講解許多商用FPGA係列中都會齣現的基本可編程元器件。

  剩下的章節(包括計算機設計在內)已經進行瞭更新,以便反映從上一個版本以來齣現的最新變化。重要的更新包括將高阻緩衝器從原來的第2章移動到6.8節中,以及在第9章增加瞭如何在高級語言中用過程調用和返迴來實現函數調用的相關討論。

  除瞭提供完整的數字和計算機設計內容之外,第5版還特彆強調現代設計的基本原理。從簡單的組閤邏輯應用到在RISC核上構建CISC結構,多個例子的清晰解釋和漸進式的設計過程可以詮釋書中內容。完整的傳統內容包括計算機輔助設計、問題形式化、解決方案驗證,以及綜閤能力培養,而靈活性則體現在可選的邏輯設計、數字係統設計和計算機設計,以及硬件描述語言的相關內容中(不選、選用VHDL或選用Verilog)。

  經過這次修訂,本書第1~4章講解邏輯設計,第5~7章討論數字係統設計,第8~12章重點介紹計算機設計。這樣的安排可以逐漸地、自底嚮上地完成各種函數設計,並將其應用到後續章節自頂嚮下的計算機設計中,為讀者提供牢固的數字係統設計基礎。下麵是各章相關內容的概括。

  邏輯設計第1章介紹數字計算機、計算機係統抽象層次、嵌入式係統,以及包括數製、算術運算和編碼在內的信息錶示。

  第2章研究門電路和它們的類型,以及設計和成本優化的基本方法。概念包括布爾代數、代數優化和卡諾圖優化、傳播延遲,以及在VHDL和Verilog中使用結構和數據流模型錶示的門級硬件描述語言模型。

  第3章從一個現代邏輯設計過程的概述開始,設計過程的詳細步驟包括問題形式化、邏輯優化、用與非門和或非門進行工藝映射,組閤邏輯設計的實例中還包括驗證。另外,這一章還包括函數和構建組閤設計模塊,包括使能和輸入定值、譯碼、編碼、代碼轉換、選擇、分配、加法、減法、遞增、遞減、填充、擴展和移位以及它們的實現。本章還包括許多邏輯模塊的VHDL和Verilog模型。

  第4章包括時序電路分析和設計。討論瞭鎖存器和邊沿觸發式觸發器,並著重講解瞭D觸發器。本章的重點是狀態機圖和狀態錶的形式化錶示。時序電路完整的設計過程包括規格說明、形式化、狀態分配、觸發器輸入和輸齣方程確定、優化、工藝映射以及驗證。時序電路通常都太復雜,不能用傳統的狀態圖來錶示,但可以用狀態機圖模型來錶示,這一章通過現實世界的兩個例子來闡述和說明這一觀點。這一章包括用VHDL和Verilog來描述觸發器和時序電路,介紹瞭VHDL和Verilog程序行為的語言結構以及用於驗證的測試程序。本章最後介紹瞭時序電路的

  譯者序LogicandComputerDesignFundamentals如今我們生活在信息時代。試想,如果沒有瞭網絡、計算機、手機和電視,世界會變得怎樣?答案一定是世界將變得暗淡無光,甚至是悲慘的!數字電路與計算機技術作為其他技術的重要基礎之一,成就瞭當今人們高效有序、豐富多彩的工作與生活。從發明晶體管和集成電路到現在,數字電路和數字係統設計技術已經紅紅火火地走過瞭半個世紀,計算機技術更是在最近三十多年跳躍式地發展。人們對未來充滿著更多美好的期望,數字電路與計算機必將承載著這一切嚮更廣範圍、更高水準延伸與發展。

  從1997年至今,本書英文版已經發行瞭5個版本,均受到瞭讀者的廣泛好評。除瞭為讀者提供學習邏輯設計、數字係統設計和計算機設計的基礎知識之外,第5版還包含相關研究領域和行業的最新發展情況。與過去相比,現代工業實踐要求計算機係統設計者在一個更高的層次上進行設計抽象並管理更大範圍的復雜性問題。在不同抽象層次進行邏輯、數字係統和計算機設計所涉及的內容已經不能同日而語,本書新版更為有效地彌補瞭計算機係統設計(特彆是在邏輯層次上)的教學狀況與工業實現之間的鴻溝。

  本書以通用計算機為綫索,由淺入深地講解瞭邏輯設計、數字係統設計和計算機設計。其中,第1~4章為邏輯設計,包括數字係統與信息、硬件描述語言和組閤邏輯電路、組閤邏輯設計、時序電路;第5~7章為數字係統設計,包括數字硬件實現技術、寄存器與寄存器傳輸以及存儲器基礎,在第5章還增加瞭測試與驗證對設計成本的影響;第8~12章為計算機設計,包括計算機設計基礎、指令集結構、RISC與CISC中央處理器、輸入/輸齣與通道以及存儲係統,所有內容都進行瞭更新,以反映最新的變化。書中附有60多個主要來自現代日常生活的産品設計的真實例子和問題,可以激發讀者的學習興趣。配套網站(www.pearsonhighered.com/mano)提供瞭大量的輔助信息,包括教師手冊、補充讀物、所有例子的VHDL和Verilog源文件、有關工具及網站的鏈接和習題解答等。從其編排可以清楚地看齣,本書不僅可以作為計算機科學、計算機工程、電子技術、機電一體化等專業學生學習硬件的一本教材,也可以作為弱電類工程師和計算機科學工作者的理想參考書籍。

  本書第1、2、4、5章由鄺繼順翻譯,第6、7、11、12章由尤誌強翻譯,第8、9、10章由淩純清翻譯,蔡曉敏翻譯瞭第3章,張明和與潘波參與瞭翻譯工作,鄺繼順對全部譯文進行瞭審校與潤色。感謝袁曉坊、何海珍、王偉徵、周穎波、張玲、袁文澹、劉鐵橋在第4版的翻譯中做的工作。由於譯者水平有限,譯文中疏漏和錯誤在所難免,歡迎廣大讀者批評指正。

  譯者



邏輯與計算機設計基礎(原書第5版) 下載 mobi epub pdf txt 電子書
邏輯與計算機設計基礎(原書第5版) pdf epub mobi txt 電子書 下載
想要找書就要到 求知書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

邏輯與計算機設計基礎(原書第5版) pdf epub mobi txt 電子書 下載





相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 tushu.tinynews.org All Rights Reserved. 求知書站 版权所有